aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs/sources_1
diff options
context:
space:
mode:
Diffstat (limited to 'alu/alu.srcs/sources_1')
l---------alu/alu.srcs/sources_1/bin2bcd8.vhd1
l---------alu/alu.srcs/sources_1/main.vhd1
2 files changed, 2 insertions, 0 deletions
diff --git a/alu/alu.srcs/sources_1/bin2bcd8.vhd b/alu/alu.srcs/sources_1/bin2bcd8.vhd
new file mode 120000
index 0000000..e5ecc75
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bin2bcd8.vhd
@@ -0,0 +1 @@
+../../../src/bin2bcd8.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/main.vhd b/alu/alu.srcs/sources_1/main.vhd
new file mode 120000
index 0000000..332f933
--- /dev/null
+++ b/alu/alu.srcs/sources_1/main.vhd
@@ -0,0 +1 @@
+../../../src/main-alu.vhd \ No newline at end of file