aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs/sources_1
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2022-11-28 10:27:50 +0100
committerlonkaars <loek@pipeframe.xyz>2022-11-28 10:27:50 +0100
commita3b5c4f84698182612f1ce43f09645bfc3566221 (patch)
tree2beb219a360e11d527f414c6e0301277680c7ecd /alu/alu.srcs/sources_1
parent68784722ac52da2743b409414225c68cf516c994 (diff)
working bin2bcd8 and design for signed to positive part
Diffstat (limited to 'alu/alu.srcs/sources_1')
l---------alu/alu.srcs/sources_1/bin2bcd8.vhd1
l---------alu/alu.srcs/sources_1/main.vhd1
2 files changed, 2 insertions, 0 deletions
diff --git a/alu/alu.srcs/sources_1/bin2bcd8.vhd b/alu/alu.srcs/sources_1/bin2bcd8.vhd
new file mode 120000
index 0000000..e5ecc75
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bin2bcd8.vhd
@@ -0,0 +1 @@
+../../../src/bin2bcd8.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/main.vhd b/alu/alu.srcs/sources_1/main.vhd
new file mode 120000
index 0000000..332f933
--- /dev/null
+++ b/alu/alu.srcs/sources_1/main.vhd
@@ -0,0 +1 @@
+../../../src/main-alu.vhd \ No newline at end of file