aboutsummaryrefslogtreecommitdiff
path: root/bouncing-ball/bouncing-ball.srcs
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2022-12-19 18:39:32 +0100
committerlonkaars <loek@pipeframe.xyz>2022-12-19 18:39:32 +0100
commitbfb6b4cf92747d5a6457ff1a1d6a85cbef7b019b (patch)
treea4c4534e5b1102ea0e36250c7c27c86554ceab8e /bouncing-ball/bouncing-ball.srcs
parent3f01179d64959276062284ab17239844c02d952c (diff)
bouncing ball beginsel
Diffstat (limited to 'bouncing-ball/bouncing-ball.srcs')
-rw-r--r--bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc35
l---------bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd1
-rw-r--r--bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem0
l---------bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd1
7 files changed, 40 insertions, 0 deletions
diff --git a/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc b/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc
new file mode 100644
index 0000000..6415f3a
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc
@@ -0,0 +1,35 @@
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports hsync]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports vsync]
+set_property PACKAGE_PIN W5 [get_ports clk]
+set_property PACKAGE_PIN P19 [get_ports hsync]
+set_property PACKAGE_PIN R19 [get_ports vsync]
+
+
+set_property PACKAGE_PIN J18 [get_ports {blue[3]}]
+set_property PACKAGE_PIN K18 [get_ports {blue[2]}]
+set_property PACKAGE_PIN L18 [get_ports {blue[1]}]
+set_property PACKAGE_PIN N18 [get_ports {blue[0]}]
+set_property PACKAGE_PIN D17 [get_ports {green[3]}]
+set_property PACKAGE_PIN G17 [get_ports {green[2]}]
+set_property PACKAGE_PIN H17 [get_ports {green[1]}]
+set_property PACKAGE_PIN J17 [get_ports {green[0]}]
+set_property PACKAGE_PIN N19 [get_ports {red[3]}]
+set_property PACKAGE_PIN J19 [get_ports {red[2]}]
+set_property PACKAGE_PIN H19 [get_ports {red[1]}]
+set_property PACKAGE_PIN G19 [get_ports {red[0]}]
+
+set_property PACKAGE_PIN T18 [get_ports reset]
+set_property IOSTANDARD LVCMOS33 [get_ports reset]
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd
new file mode 120000
index 0000000..3631200
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd
@@ -0,0 +1 @@
+../../../src/bitmap-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd
new file mode 120000
index 0000000..4419d8d
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd
@@ -0,0 +1 @@
+../../../src/bounce.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd
new file mode 120000
index 0000000..9270930
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd
@@ -0,0 +1 @@
+../../../src/main-bouncing-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem b/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd
new file mode 120000
index 0000000..1dde575
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd
@@ -0,0 +1 @@
+../../../src/pixeldata-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd
new file mode 120000
index 0000000..19e49f9
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd
@@ -0,0 +1 @@
+../../../src/vga.vhd \ No newline at end of file