aboutsummaryrefslogtreecommitdiff
path: root/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc')
-rw-r--r--bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc35
1 files changed, 35 insertions, 0 deletions
diff --git a/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc b/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc
new file mode 100644
index 0000000..6415f3a
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/constrs_1/main.xdc
@@ -0,0 +1,35 @@
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {blue[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {green[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports hsync]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {red[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports vsync]
+set_property PACKAGE_PIN W5 [get_ports clk]
+set_property PACKAGE_PIN P19 [get_ports hsync]
+set_property PACKAGE_PIN R19 [get_ports vsync]
+
+
+set_property PACKAGE_PIN J18 [get_ports {blue[3]}]
+set_property PACKAGE_PIN K18 [get_ports {blue[2]}]
+set_property PACKAGE_PIN L18 [get_ports {blue[1]}]
+set_property PACKAGE_PIN N18 [get_ports {blue[0]}]
+set_property PACKAGE_PIN D17 [get_ports {green[3]}]
+set_property PACKAGE_PIN G17 [get_ports {green[2]}]
+set_property PACKAGE_PIN H17 [get_ports {green[1]}]
+set_property PACKAGE_PIN J17 [get_ports {green[0]}]
+set_property PACKAGE_PIN N19 [get_ports {red[3]}]
+set_property PACKAGE_PIN J19 [get_ports {red[2]}]
+set_property PACKAGE_PIN H19 [get_ports {red[1]}]
+set_property PACKAGE_PIN G19 [get_ports {red[0]}]
+
+set_property PACKAGE_PIN T18 [get_ports reset]
+set_property IOSTANDARD LVCMOS33 [get_ports reset]