aboutsummaryrefslogtreecommitdiff
path: root/bouncing-ball/bouncing-ball.srcs/sources_1
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2022-12-19 18:39:32 +0100
committerlonkaars <loek@pipeframe.xyz>2022-12-19 18:39:32 +0100
commitbfb6b4cf92747d5a6457ff1a1d6a85cbef7b019b (patch)
treea4c4534e5b1102ea0e36250c7c27c86554ceab8e /bouncing-ball/bouncing-ball.srcs/sources_1
parent3f01179d64959276062284ab17239844c02d952c (diff)
bouncing ball beginsel
Diffstat (limited to 'bouncing-ball/bouncing-ball.srcs/sources_1')
l---------bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd1
-rw-r--r--bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem0
l---------bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd1
l---------bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd1
6 files changed, 5 insertions, 0 deletions
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd
new file mode 120000
index 0000000..3631200
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.vhd
@@ -0,0 +1 @@
+../../../src/bitmap-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd
new file mode 120000
index 0000000..4419d8d
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd
@@ -0,0 +1 @@
+../../../src/bounce.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd
new file mode 120000
index 0000000..9270930
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd
@@ -0,0 +1 @@
+../../../src/main-bouncing-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem b/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/new/test.mem
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd
new file mode 120000
index 0000000..1dde575
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd
@@ -0,0 +1 @@
+../../../src/pixeldata-ball.vhd \ No newline at end of file
diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd
new file mode 120000
index 0000000..19e49f9
--- /dev/null
+++ b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd
@@ -0,0 +1 @@
+../../../src/vga.vhd \ No newline at end of file