aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs/constrs_1/main.xdc
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2022-11-28 20:57:19 +0100
committerlonkaars <loek@pipeframe.xyz>2022-11-28 20:57:59 +0100
commit051606063c85d2d5854e1ff2441d9ed34bc9c4c1 (patch)
tree7aca4344691daff1caec3d3c7b2010db13f1d2c9 /alu/alu.srcs/constrs_1/main.xdc
parentf13c49404adec63fd8161a4f44038bb265c169a6 (diff)
alu working without bugs on hardware
Diffstat (limited to 'alu/alu.srcs/constrs_1/main.xdc')
-rw-r--r--alu/alu.srcs/constrs_1/main.xdc1
1 files changed, 0 insertions, 1 deletions
diff --git a/alu/alu.srcs/constrs_1/main.xdc b/alu/alu.srcs/constrs_1/main.xdc
index 2b8c6e3..e6f238d 100644
--- a/alu/alu.srcs/constrs_1/main.xdc
+++ b/alu/alu.srcs/constrs_1/main.xdc
@@ -1,5 +1,4 @@
set_property IOSTANDARD LVCMOS33 [get_ports CLK]
-set_property IOSTANDARD LVCMOS33 [get_ports Cout]
set_property IOSTANDARD LVCMOS33 [get_ports Equal]
set_property IOSTANDARD LVCMOS33 [get_ports {A[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {A[1]}]