aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs/constrs_1/main.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'alu/alu.srcs/constrs_1/main.xdc')
-rw-r--r--alu/alu.srcs/constrs_1/main.xdc1
1 files changed, 0 insertions, 1 deletions
diff --git a/alu/alu.srcs/constrs_1/main.xdc b/alu/alu.srcs/constrs_1/main.xdc
index 2b8c6e3..e6f238d 100644
--- a/alu/alu.srcs/constrs_1/main.xdc
+++ b/alu/alu.srcs/constrs_1/main.xdc
@@ -1,5 +1,4 @@
set_property IOSTANDARD LVCMOS33 [get_ports CLK]
-set_property IOSTANDARD LVCMOS33 [get_ports Cout]
set_property IOSTANDARD LVCMOS33 [get_ports Equal]
set_property IOSTANDARD LVCMOS33 [get_ports {A[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {A[1]}]