aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2022-11-28 12:00:18 +0100
committerlonkaars <loek@pipeframe.xyz>2022-11-28 12:00:18 +0100
commitf13c49404adec63fd8161a4f44038bb265c169a6 (patch)
tree6fcd56bbc1d4d008e3b7ab8aeb9570389106c43c /alu/alu.srcs
parenta3b5c4f84698182612f1ce43f09645bfc3566221 (diff)
add main.vhd to alu
Diffstat (limited to 'alu/alu.srcs')
-rw-r--r--alu/alu.srcs/constrs_1/main.xdc70
l---------alu/alu.srcs/sources_1/bcd2disp.vhd1
l---------alu/alu.srcs/sources_1/bcddec.vhd1
l---------alu/alu.srcs/sources_1/stopp.vhd1
4 files changed, 73 insertions, 0 deletions
diff --git a/alu/alu.srcs/constrs_1/main.xdc b/alu/alu.srcs/constrs_1/main.xdc
new file mode 100644
index 0000000..2b8c6e3
--- /dev/null
+++ b/alu/alu.srcs/constrs_1/main.xdc
@@ -0,0 +1,70 @@
+set_property IOSTANDARD LVCMOS33 [get_ports CLK]
+set_property IOSTANDARD LVCMOS33 [get_ports Cout]
+set_property IOSTANDARD LVCMOS33 [get_ports Equal]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[4]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[5]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[6]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {A[7]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[4]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[5]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[6]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {B[7]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[4]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[5]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[6]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DD[7]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DS[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DS[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DS[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {DS[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {Op[0]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {Op[1]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {Op[2]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {Op[3]}]
+set_property IOSTANDARD LVCMOS33 [get_ports {Res[7]}]
+
+set_property PACKAGE_PIN W5 [get_ports CLK]
+set_property PACKAGE_PIN V7 [get_ports {DD[7]}]
+set_property PACKAGE_PIN U7 [get_ports {DD[6]}]
+set_property PACKAGE_PIN V5 [get_ports {DD[5]}]
+set_property PACKAGE_PIN U5 [get_ports {DD[4]}]
+set_property PACKAGE_PIN V8 [get_ports {DD[3]}]
+set_property PACKAGE_PIN U8 [get_ports {DD[2]}]
+set_property PACKAGE_PIN W6 [get_ports {DD[1]}]
+set_property PACKAGE_PIN W7 [get_ports {DD[0]}]
+set_property PACKAGE_PIN U2 [get_ports {DS[3]}]
+set_property PACKAGE_PIN U4 [get_ports {DS[2]}]
+set_property PACKAGE_PIN V4 [get_ports {DS[1]}]
+set_property PACKAGE_PIN W4 [get_ports {DS[0]}]
+set_property PACKAGE_PIN R2 [get_ports {A[7]}]
+set_property PACKAGE_PIN T1 [get_ports {A[6]}]
+set_property PACKAGE_PIN U1 [get_ports {A[5]}]
+set_property PACKAGE_PIN W2 [get_ports {A[4]}]
+set_property PACKAGE_PIN R3 [get_ports {A[3]}]
+set_property PACKAGE_PIN T2 [get_ports {A[2]}]
+set_property PACKAGE_PIN T3 [get_ports {A[1]}]
+set_property PACKAGE_PIN V2 [get_ports {A[0]}]
+set_property PACKAGE_PIN W13 [get_ports {B[7]}]
+set_property PACKAGE_PIN W14 [get_ports {B[6]}]
+set_property PACKAGE_PIN V15 [get_ports {B[5]}]
+set_property PACKAGE_PIN W15 [get_ports {B[4]}]
+set_property PACKAGE_PIN W17 [get_ports {B[3]}]
+set_property PACKAGE_PIN W16 [get_ports {B[2]}]
+set_property PACKAGE_PIN V16 [get_ports {B[1]}]
+set_property PACKAGE_PIN V17 [get_ports {B[0]}]
+set_property PACKAGE_PIN T18 [get_ports {Op[3]}]
+set_property PACKAGE_PIN W19 [get_ports {Op[2]}]
+set_property PACKAGE_PIN T17 [get_ports {Op[1]}]
+set_property PACKAGE_PIN U17 [get_ports {Op[0]}]
diff --git a/alu/alu.srcs/sources_1/bcd2disp.vhd b/alu/alu.srcs/sources_1/bcd2disp.vhd
new file mode 120000
index 0000000..3b67369
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bcd2disp.vhd
@@ -0,0 +1 @@
+../../../src/bcd2disp.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/bcddec.vhd b/alu/alu.srcs/sources_1/bcddec.vhd
new file mode 120000
index 0000000..f6d3258
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bcddec.vhd
@@ -0,0 +1 @@
+../../../src/bcddec.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/stopp.vhd b/alu/alu.srcs/sources_1/stopp.vhd
new file mode 120000
index 0000000..f3217af
--- /dev/null
+++ b/alu/alu.srcs/sources_1/stopp.vhd
@@ -0,0 +1 @@
+../../../src/stopp.vhd \ No newline at end of file