diff options
author | lonkaars <loek@pipeframe.xyz> | 2022-11-29 15:26:25 +0100 |
---|---|---|
committer | lonkaars <loek@pipeframe.xyz> | 2022-11-29 15:26:25 +0100 |
commit | ca2fe92545dd5989a72f2e8d81aaeb778934307d (patch) | |
tree | c7f4ed248eb14aa5f3b615dfa9971e598347ef64 /adder-and-display/adder-and-display.srcs/constrs_1 | |
parent | dd98fe4239181753337a95d887db0d5c56e52b13 (diff) |
rename stopp to abs8b, add comments and cleanup
Diffstat (limited to 'adder-and-display/adder-and-display.srcs/constrs_1')
-rw-r--r-- | adder-and-display/adder-and-display.srcs/constrs_1/main.xdc | 3 |
1 files changed, 3 insertions, 0 deletions
diff --git a/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc b/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc index 9c803a5..14fdef6 100644 --- a/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc +++ b/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc @@ -41,3 +41,6 @@ set_property PACKAGE_PIN U4 [get_ports {DS[2]}] set_property PACKAGE_PIN V4 [get_ports {DS[1]}] set_property PACKAGE_PIN W4 [get_ports {DS[0]}] + +set_property IOSTANDARD LVCMOS33 [get_ports Cin] +set_property PACKAGE_PIN V2 [get_ports Cin] |