aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/apu_note_to_frequency_tb.vhd
blob: f48a40cabb9308a02c268be6f4e4c444a84b0e3c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library unisim;
use unisim.vcomponents.all;

entity apu_note_to_frequency_tb is
end entity;

architecture Behavioral of apu_note_to_frequency_tb is
	component apu_note_to_frequency is port(
		data : in std_logic_vector(7 downto 0);
		freq : out std_logic_vector(11 downto 0)); -- frequency
	end component;

	signal data : std_logic_vector(7 downto 0) := (others => '0');
	signal freq : std_logic_vector(11 downto 0) := (others => '0');

	signal ok : boolean := false;
begin
	uut : apu_note_to_frequency port map(
		data => data,
		freq => freq);
	
	tb : process
	begin
		for i in 0 to 255 loop
			data <= std_logic_vector(to_unsigned(i, 8));
			wait for 4 ps;
		end loop;
	end process;
end architecture;