diff options
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r-- | basys3/basys3.srcs/io.xdc | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc index 8243f1e..419e4cd 100644 --- a/basys3/basys3.srcs/io.xdc +++ b/basys3/basys3.srcs/io.xdc @@ -41,10 +41,10 @@ set_property PACKAGE_PIN L18 [get_ports {B[1]}] set_property PACKAGE_PIN N18 [get_ports {B[0]}] set_property IOSTANDARD LVCMOS33 [get_ports VBLANK] -set_property IOSTANDARD LVCMOS33 [get_ports WEN] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_RESET] set_property PACKAGE_PIN C16 [get_ports VBLANK] -set_property PACKAGE_PIN J1 [get_ports WEN] +set_property PACKAGE_PIN J1 [get_ports SPI_RESET] |