aboutsummaryrefslogtreecommitdiff
path: root/src/sl8b.vhd
blob: 67b71dde3c0d95af4f3e9f1232cc377388920f9d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;

entity sl8b is
	port (
		A, S: in std_logic_vector(7 downto 0);
		X: out std_logic_vector(7 downto 0));
end sl8b;

architecture Behavioral of sl8b is
begin
	X <= std_logic_vector(shift_left(unsigned(A), natural(to_integer(unsigned(S)))));
end Behavioral;