aboutsummaryrefslogtreecommitdiff
path: root/src/dispshift.vhd
blob: 5669641553858cb07a2f2eefd512655b922f9c2c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
--use ieee.numeric_std.all;

entity dispshift is port(
	CLK: in std_logic; -- system clock
	S: in std_logic; -- shift
	D: in std_logic_vector(3 downto 0); -- shift input (data)
	N0, N1, N2, N3: out std_logic_vector(3 downto 0)); -- shift outputs
end dispshift;

architecture Behavioral of dispshift is

begin


end Behavioral;