1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; entity d_ff is port ( CLK: in std_logic; D: in std_logic; Q: out std_logic); end d_ff; architecture Behavioral of d_ff is begin process(CLK) if(rising_edge(CLK)) then Q <= D; end if; begin end Behavioral;