aboutsummaryrefslogtreecommitdiff
path: root/src/add1b.vhd
blob: a2d4068b4da3505792996ce6174972b4665e6b14 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;

-- full adder entity
entity add1b is
  port (
    A: in std_logic;
    B: in std_logic;
    Cin: in std_logic;
    X: out std_logic;
    Cout: out std_logic);
end add1b;

architecture Behavioral of add1b is
  signal s0: std_logic;
  signal s1: std_logic;
  signal s2: std_logic;
  component half_add
	port (
	  A: in std_logic;
      B: in std_logic;
      X: out std_logic;
      Cout: out std_logic);
  end component;
begin
	-- first add A and B with HA
	add0: component half_add
    port map (
      A => A,
      B => B,
      X => s0,
      Cout => s1);
	-- then add first result with Cin to get final result
  add1: component half_add
    port map (
      A => Cin,
      B => s0,
      X => X,
      Cout => s2);
	-- calculate Cout by OR-ing the Cout of both half adders
  Cout <= (s2 OR s1);
end Behavioral;