aboutsummaryrefslogtreecommitdiff
path: root/keyboard/keyboard.srcs/main.xdc
blob: 3b003598b359c50779a6e296b5e90f019a5fe32b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
set_property IOSTANDARD LVCMOS33 [get_ports CLK100]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DS[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DS[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DS[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DS[0]}]
set_property PACKAGE_PIN W5 [get_ports CLK100]
set_property PACKAGE_PIN V7 [get_ports {DD[7]}]
set_property PACKAGE_PIN U7 [get_ports {DD[6]}]
set_property PACKAGE_PIN V5 [get_ports {DD[5]}]
set_property PACKAGE_PIN U5 [get_ports {DD[4]}]
set_property PACKAGE_PIN V8 [get_ports {DD[3]}]
set_property PACKAGE_PIN U8 [get_ports {DD[2]}]
set_property PACKAGE_PIN W6 [get_ports {DD[1]}]
set_property PACKAGE_PIN W7 [get_ports {DD[0]}]
set_property PACKAGE_PIN U2 [get_ports {DS[3]}]
set_property PACKAGE_PIN U4 [get_ports {DS[2]}]
set_property PACKAGE_PIN V4 [get_ports {DS[1]}]
set_property PACKAGE_PIN W4 [get_ports {DS[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports PS2_CLK]
set_property IOSTANDARD LVCMOS33 [get_ports PS2_DAT]
set_property PACKAGE_PIN C17 [get_ports PS2_CLK]
set_property PACKAGE_PIN B17 [get_ports PS2_DAT]