aboutsummaryrefslogtreecommitdiff
path: root/eindopdracht/eindopdracht.srcs/constrs_1/new/io.xdc
blob: b51eb8f9b92023e5337be1da1229853b77661ebd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
set_property IOSTANDARD LVCMOS33 [get_ports GLOBAL_MUTE]
set_property IOSTANDARD LVCMOS33 [get_ports NOTE_PLAY]
set_property IOSTANDARD LVCMOS33 [get_ports NOTE_WRONG]
set_property IOSTANDARD LVCMOS33 [get_ports SYSCLK]
set_property IOSTANDARD LVCMOS33 [get_ports SYSRESET]
set_property IOSTANDARD LVCMOS33 [get_ports {NOTE_IDX[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {NOTE_IDX[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {NOTE_IDX[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {NOTE_IDX[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports PWM_OUT]
set_property PACKAGE_PIN A15 [get_ports PWM_OUT]
set_property PACKAGE_PIN U18 [get_ports SYSRESET]
set_property PACKAGE_PIN W5 [get_ports SYSCLK]
set_property PACKAGE_PIN W17 [get_ports {NOTE_IDX[3]}]
set_property PACKAGE_PIN W16 [get_ports {NOTE_IDX[2]}]
set_property PACKAGE_PIN V16 [get_ports {NOTE_IDX[1]}]
set_property PACKAGE_PIN V17 [get_ports {NOTE_IDX[0]}]
set_property PACKAGE_PIN W15 [get_ports NOTE_PLAY]
set_property PACKAGE_PIN R2 [get_ports GLOBAL_MUTE]
set_property PACKAGE_PIN V15 [get_ports NOTE_WRONG]