diff options
Diffstat (limited to 'stopwatch/stopwatch.srcs/constrs_1/main.xdc')
-rw-r--r-- | stopwatch/stopwatch.srcs/constrs_1/main.xdc | 34 |
1 files changed, 34 insertions, 0 deletions
diff --git a/stopwatch/stopwatch.srcs/constrs_1/main.xdc b/stopwatch/stopwatch.srcs/constrs_1/main.xdc new file mode 100644 index 0000000..a729a03 --- /dev/null +++ b/stopwatch/stopwatch.srcs/constrs_1/main.xdc @@ -0,0 +1,34 @@ +set_property IOSTANDARD LVCMOS33 [get_ports {buttons[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {buttons[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports sysReset] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[3]}] + +set_property PACKAGE_PIN T17 [get_ports {buttons[0]}] +set_property PACKAGE_PIN W19 [get_ports {buttons[1]}] +set_property PACKAGE_PIN W5 [get_ports clk] +set_property PACKAGE_PIN U17 [get_ports {sysReset}] +set_property PACKAGE_PIN V7 [get_ports {DD[7]}] +set_property PACKAGE_PIN U7 [get_ports {DD[6]}] +set_property PACKAGE_PIN V5 [get_ports {DD[5]}] +set_property PACKAGE_PIN U5 [get_ports {DD[4]}] +set_property PACKAGE_PIN V8 [get_ports {DD[3]}] +set_property PACKAGE_PIN U8 [get_ports {DD[2]}] +set_property PACKAGE_PIN W6 [get_ports {DD[1]}] +set_property PACKAGE_PIN W7 [get_ports {DD[0]}] +set_property PACKAGE_PIN U2 [get_ports {DS[3]}] +set_property PACKAGE_PIN U4 [get_ports {DS[2]}] +set_property PACKAGE_PIN V4 [get_ports {DS[1]}] +set_property PACKAGE_PIN W4 [get_ports {DS[0]}] + |