diff options
Diffstat (limited to 'src/ps2sync.vhd')
-rw-r--r-- | src/ps2sync.vhd | 18 |
1 files changed, 18 insertions, 0 deletions
diff --git a/src/ps2sync.vhd b/src/ps2sync.vhd new file mode 100644 index 0000000..5f911cc --- /dev/null +++ b/src/ps2sync.vhd @@ -0,0 +1,18 @@ +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; + +entity ps2sync is port( + CLK: in std_logic; -- system clock + PS2_CLK: in std_logic; -- async ps/2 clock input + PS2_DAT: in std_logic; -- async ps/2 data input + DAT: out std_logic_vector(7 downto 0); -- scancode data + NEW_DAT: out std_logic); -- if scancode was just completed (1 for once clock cycle) +end ps2sync; + +architecture Behavioral of ps2sync is + +begin + + +end Behavioral; |