aboutsummaryrefslogtreecommitdiff
path: root/src/pixeldata-eindopdracht.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/pixeldata-eindopdracht.vhd')
-rw-r--r--src/pixeldata-eindopdracht.vhd18
1 files changed, 18 insertions, 0 deletions
diff --git a/src/pixeldata-eindopdracht.vhd b/src/pixeldata-eindopdracht.vhd
new file mode 100644
index 0000000..3790d12
--- /dev/null
+++ b/src/pixeldata-eindopdracht.vhd
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+use ieee.numeric_std.all;
+
+entity pixeldata is port(
+ CLK: in std_logic; -- system clock
+ RESET: in std_logic; -- async reset
+ X, Y: in std_logic_vector(9 downto 0); -- pixel x/y
+ NOTE_IDX: in std_logic_vector(3 downto 0);
+ NOTE_WRONG: in std_logic;
+ RGB: out std_logic_vector(11 downto 0)); -- RGB output color
+end pixeldata;
+
+architecture Behavioral of pixeldata is
+begin
+ RGB <= (others => '0');
+end Behavioral;