aboutsummaryrefslogtreecommitdiff
path: root/src/note-synth.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/note-synth.vhd')
-rw-r--r--src/note-synth.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/note-synth.vhd b/src/note-synth.vhd
index 3d37022..72a1cd1 100644
--- a/src/note-synth.vhd
+++ b/src/note-synth.vhd
@@ -45,7 +45,7 @@ begin
CLK_FOR_F5;
PWM_OUT <= PWM_OUT_TEMP and NOTE_PLAY;
- process(CLK)
+ process(CLK, RESET)
variable CLK_COUNTER : integer := 0;
begin
if RESET = '1' then