aboutsummaryrefslogtreecommitdiff
path: root/src/note-synth.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/note-synth.vhd')
-rw-r--r--src/note-synth.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/note-synth.vhd b/src/note-synth.vhd
index 1a0a9ba..5ae9052 100644
--- a/src/note-synth.vhd
+++ b/src/note-synth.vhd
@@ -9,10 +9,10 @@ entity note_synth is port(
NOTE_IDX: in std_logic_vector(3 downto 0); -- note index
NOTE_WRONG: in std_logic; -- note wrong
NOTE_PLAY: in std_logic; -- output audio
- AUDIO_LEVEL: out std_logic_vector(7 downto 0)); -- audio signal level
+ PWM_OUT: out std_logic); -- audio signal level
end note_synth;
architecture Behavioral of note_synth is
begin
- AUDIO_LEVEL <= (others => '0');
+ PWM_OUT <= '0';
end Behavioral;