aboutsummaryrefslogtreecommitdiff
path: root/src/main-stopwatch.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/main-stopwatch.vhd')
-rw-r--r--src/main-stopwatch.vhd18
1 files changed, 9 insertions, 9 deletions
diff --git a/src/main-stopwatch.vhd b/src/main-stopwatch.vhd
index ac5ff2d..7fbca69 100644
--- a/src/main-stopwatch.vhd
+++ b/src/main-stopwatch.vhd
@@ -53,19 +53,19 @@ begin
end if;
end process;
- -- controller: component FSM_controller
- -- port map(
- -- clk => clk,
- -- sysReset => sysReset,
- -- buttons => buttons,
- -- watchRunning => watchRunning,
- -- watchReset => watchReset);
+ controller: component FSM_controller
+ port map(
+ clk => clk,
+ sysReset => sysReset,
+ buttons => buttons,
+ watchRunning => watchRunning,
+ watchReset => watchReset);
stopwatch: component Watch
port map(
clk => clk,
sysReset => sysReset,
- watchRunning => buttons(0),
- watchReset => buttons(1),
+ watchRunning => watchRunning,
+ watchReset => watchReset,
mins => mins,
secs => secs);
bcd0: component bin2bcd