aboutsummaryrefslogtreecommitdiff
path: root/src/main-keyboard.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/main-keyboard.vhd')
-rw-r--r--src/main-keyboard.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/src/main-keyboard.vhd b/src/main-keyboard.vhd
index 8397266..14fd24f 100644
--- a/src/main-keyboard.vhd
+++ b/src/main-keyboard.vhd
@@ -92,10 +92,10 @@ begin
disp: component bcd2disp
port map (
CLK => DISP_CLK(16),
- N0 => N0,
- N1 => N1,
- N2 => N2,
- N3 => N3,
+ N0 => N3,
+ N1 => N2,
+ N2 => N1,
+ N3 => N0,
DD => DD,
DS => DS);
end Behavioral;