aboutsummaryrefslogtreecommitdiff
path: root/src/eq8b.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/eq8b.vhd')
-rw-r--r--src/eq8b.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/eq8b.vhd b/src/eq8b.vhd
index 1f929e5..bff04f2 100644
--- a/src/eq8b.vhd
+++ b/src/eq8b.vhd
@@ -6,6 +6,7 @@ entity eq8b is
port (
A, B: in std_logic_vector(7 downto 0);
Equal: out std_logic);
+-- check if A = B
end eq8b;
architecture Behavioral of eq8b is