aboutsummaryrefslogtreecommitdiff
path: root/blink/blink.srcs/sources_1
diff options
context:
space:
mode:
Diffstat (limited to 'blink/blink.srcs/sources_1')
-rw-r--r--blink/blink.srcs/sources_1/new/main.vhd3
1 files changed, 1 insertions, 2 deletions
diff --git a/blink/blink.srcs/sources_1/new/main.vhd b/blink/blink.srcs/sources_1/new/main.vhd
index b90915b..12aff02 100644
--- a/blink/blink.srcs/sources_1/new/main.vhd
+++ b/blink/blink.srcs/sources_1/new/main.vhd
@@ -9,8 +9,7 @@ entity main is
led : out STD_LOGIC);
end main;
-architecture Behavioral of main is
- signal count: STD_LOGIC_VECTOR(24 downto 0);
+architecture Behavioral of main is signal count: STD_LOGIC_VECTOR(24 downto 0);
begin
process(clk)
begin