aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs/sources_1
diff options
context:
space:
mode:
Diffstat (limited to 'alu/alu.srcs/sources_1')
l---------alu/alu.srcs/sources_1/bcd2disp.vhd1
l---------alu/alu.srcs/sources_1/bcddec.vhd1
l---------alu/alu.srcs/sources_1/stopp.vhd1
3 files changed, 3 insertions, 0 deletions
diff --git a/alu/alu.srcs/sources_1/bcd2disp.vhd b/alu/alu.srcs/sources_1/bcd2disp.vhd
new file mode 120000
index 0000000..3b67369
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bcd2disp.vhd
@@ -0,0 +1 @@
+../../../src/bcd2disp.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/bcddec.vhd b/alu/alu.srcs/sources_1/bcddec.vhd
new file mode 120000
index 0000000..f6d3258
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bcddec.vhd
@@ -0,0 +1 @@
+../../../src/bcddec.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/stopp.vhd b/alu/alu.srcs/sources_1/stopp.vhd
new file mode 120000
index 0000000..f3217af
--- /dev/null
+++ b/alu/alu.srcs/sources_1/stopp.vhd
@@ -0,0 +1 @@
+../../../src/stopp.vhd \ No newline at end of file