diff options
author | lonkaars <loek@pipeframe.xyz> | 2023-02-06 18:29:25 +0100 |
---|---|---|
committer | lonkaars <loek@pipeframe.xyz> | 2023-02-06 18:29:25 +0100 |
commit | d5df6da289ae10bb446d1ff63250484a79937aed (patch) | |
tree | 11356937983df011e5c6488cdad4acb26077ffe2 /src | |
parent | dd8ff1958ee83dd9b98727f6a40153534b872828 (diff) |
rick roll done
Diffstat (limited to 'src')
-rw-r--r-- | src/AudioOut.vhd | 2 | ||||
-rw-r--r-- | src/PlayAudio.vhd | 10 | ||||
-rw-r--r-- | src/SampleOut.vhd | 4 | ||||
-rwxr-xr-x | src/wav2coe | 2 |
4 files changed, 9 insertions, 9 deletions
diff --git a/src/AudioOut.vhd b/src/AudioOut.vhd index c19a19a..d7a6b37 100644 --- a/src/AudioOut.vhd +++ b/src/AudioOut.vhd @@ -6,7 +6,7 @@ use IEEE.NUMERIC_STD.ALL; entity AudioOut is generic( INPUT_DEPTH: integer := 256; - INPUT_SAMPLE_SIZE: integer := 36984; + INPUT_SAMPLE_SIZE: integer := 200000; INPUT_AUDIO_HZ: integer := 44100; INPUT_CLK_HZ: integer := 100000000 ); diff --git a/src/PlayAudio.vhd b/src/PlayAudio.vhd index 1af4a12..932f2a3 100644 --- a/src/PlayAudio.vhd +++ b/src/PlayAudio.vhd @@ -13,21 +13,21 @@ architecture Behavioral of PlayAudio is component SampleOut is generic( INPUT_DEPTH: integer := 256; - INPUT_SAMPLE_SIZE: integer := 36984; + INPUT_SAMPLE_SIZE: integer := 200000; INPUT_AUDIO_HZ: integer := 44100; INPUT_CLK_HZ: integer := 100000000 ); Port ( reset, clk : in STD_LOGIC; inCOEData : in STD_LOGIC_VECTOR(7 downto 0); outCOEData : out STD_LOGIC_VECTOR(7 downto 0); - outCOEAddress : out STD_LOGIC_VECTOR(15 downto 0) + outCOEAddress : out STD_LOGIC_VECTOR(17 downto 0) ); end component; component AudioOut is generic( INPUT_DEPTH: integer := 256; - INPUT_SAMPLE_SIZE: integer := 36984; + INPUT_SAMPLE_SIZE: integer := 200000; INPUT_AUDIO_HZ: integer := 44100; INPUT_CLK_HZ: integer := 100000000 ); @@ -39,13 +39,13 @@ architecture Behavioral of PlayAudio is component BertErnie44Audio IS PORT ( clka : IN STD_LOGIC; - addra : IN STD_LOGIC_VECTOR(15 DOWNTO 0); + addra : IN STD_LOGIC_VECTOR(17 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END component; -signal COEAddress :STD_LOGIC_VECTOR(15 DOWNTO 0); +signal COEAddress :STD_LOGIC_VECTOR(17 DOWNTO 0); signal COEData :STD_LOGIC_VECTOR(7 DOWNTO 0); signal MusicLevel:STD_LOGIC_VECTOR(7 DOWNTO 0); diff --git a/src/SampleOut.vhd b/src/SampleOut.vhd index 53e3f1a..63b6159 100644 --- a/src/SampleOut.vhd +++ b/src/SampleOut.vhd @@ -6,14 +6,14 @@ use IEEE.NUMERIC_STD.ALL; entity SampleOut is generic( INPUT_DEPTH: integer := 256; - INPUT_SAMPLE_SIZE: integer := 36984; + INPUT_SAMPLE_SIZE: integer := 200000; INPUT_AUDIO_HZ: integer := 44100; INPUT_CLK_HZ: integer := 100000000 ); Port ( reset, clk : in STD_LOGIC; inCOEData : in STD_LOGIC_VECTOR(7 downto 0); outCOEData : out STD_LOGIC_VECTOR(7 downto 0); - outCOEAddress : out STD_LOGIC_VECTOR(15 downto 0) + outCOEAddress : out STD_LOGIC_VECTOR(17 downto 0) ); end SampleOut; diff --git a/src/wav2coe b/src/wav2coe index 22fadf8..764ae61 100755 --- a/src/wav2coe +++ b/src/wav2coe @@ -2,7 +2,7 @@ INFILE="$1" OUTFILE="$2" -rm "$OUTFILE" +rm -f "$OUTFILE" echo "memory_initialization_radix=16;" >> "$OUTFILE" echo "memory_initialization_vector=" >> "$OUTFILE" ffmpeg -y -hide_banner -loglevel warning -i "$INFILE" -ac 1 -f u8 -acodec pcm_u8 - | cut -c1-200000 | hexdump -ve '16/1 "%02x " "\n"' >> "$OUTFILE" |