aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/ppu_sprite_fg_tb.vhd
blob: 87c4f6e6a7dae140c087bd397e09ab5549c88b52 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
library work;
library unisim;

use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use unisim.vcomponents.all;
use work.ppu_consts.all;

entity ppu_sprite_fg_tb is
end ppu_sprite_fg_tb;

architecture Behavioral of ppu_sprite_fg_tb is
begin
end Behavioral;