aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
blob: fa1dbd06d9635a5ff733da72341a3cb6e3762bff (plain)
1
2
3
4
5
6
set_property PACKAGE_PIN A15 [get_ports SPI_CLK]
set_property PACKAGE_PIN C15 [get_ports SPI_CS]
set_property PACKAGE_PIN A17 [get_ports SPI_MOSI]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK]