aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
blob: 85d4fc28fe00325ad411d8f479b35d304b2fba2e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK]

set_property PACKAGE_PIN J2 [get_ports SPI_CLK]
set_property PACKAGE_PIN C15 [get_ports SPI_CS]
set_property PACKAGE_PIN L2 [get_ports SPI_MOSI]

set_property IOSTANDARD LVCMOS33 [get_ports SYSCLK]
set_property IOSTANDARD LVCMOS33 [get_ports RESET]
set_property IOSTANDARD LVCMOS33 [get_ports HSYNC]
set_property IOSTANDARD LVCMOS33 [get_ports VSYNC]

set_property PACKAGE_PIN W5 [get_ports SYSCLK]
set_property PACKAGE_PIN T18 [get_ports RESET]
set_property PACKAGE_PIN P19 [get_ports HSYNC]
set_property PACKAGE_PIN R19 [get_ports VSYNC]

set_property IOSTANDARD LVCMOS33 [get_ports {R[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {R[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {R[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {R[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {G[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {G[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {G[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {G[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {B[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {B[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {B[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {B[0]}]

set_property PACKAGE_PIN N19 [get_ports {R[3]}]
set_property PACKAGE_PIN J19 [get_ports {R[2]}]
set_property PACKAGE_PIN H19 [get_ports {R[1]}]
set_property PACKAGE_PIN G19 [get_ports {R[0]}]
set_property PACKAGE_PIN D17 [get_ports {G[3]}]
set_property PACKAGE_PIN G17 [get_ports {G[2]}]
set_property PACKAGE_PIN H17 [get_ports {G[1]}]
set_property PACKAGE_PIN J17 [get_ports {G[0]}]
set_property PACKAGE_PIN J18 [get_ports {B[3]}]
set_property PACKAGE_PIN K18 [get_ports {B[2]}]
set_property PACKAGE_PIN L18 [get_ports {B[1]}]
set_property PACKAGE_PIN N18 [get_ports {B[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports VBLANK]
set_property IOSTANDARD LVCMOS33 [get_ports WEN]

set_property PACKAGE_PIN C16 [get_ports VBLANK]
set_property PACKAGE_PIN J1 [get_ports WEN]



set_property IOSTANDARD LVCMOS33 [get_ports DBG_DISP_ADDR]
set_property PACKAGE_PIN R2 [get_ports DBG_DISP_ADDR]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {DBG_LEDS_OUT[0]}]
set_property PACKAGE_PIN L1 [get_ports {DBG_LEDS_OUT[15]}]
set_property PACKAGE_PIN P1 [get_ports {DBG_LEDS_OUT[14]}]
set_property PACKAGE_PIN N3 [get_ports {DBG_LEDS_OUT[13]}]
set_property PACKAGE_PIN P3 [get_ports {DBG_LEDS_OUT[12]}]
set_property PACKAGE_PIN U3 [get_ports {DBG_LEDS_OUT[11]}]
set_property PACKAGE_PIN W3 [get_ports {DBG_LEDS_OUT[10]}]
set_property PACKAGE_PIN V3 [get_ports {DBG_LEDS_OUT[9]}]
set_property PACKAGE_PIN V13 [get_ports {DBG_LEDS_OUT[8]}]
set_property PACKAGE_PIN V14 [get_ports {DBG_LEDS_OUT[7]}]
set_property PACKAGE_PIN U14 [get_ports {DBG_LEDS_OUT[6]}]
set_property PACKAGE_PIN U15 [get_ports {DBG_LEDS_OUT[5]}]
set_property PACKAGE_PIN W18 [get_ports {DBG_LEDS_OUT[4]}]
set_property PACKAGE_PIN V19 [get_ports {DBG_LEDS_OUT[3]}]
set_property PACKAGE_PIN U19 [get_ports {DBG_LEDS_OUT[2]}]
set_property PACKAGE_PIN E19 [get_ports {DBG_LEDS_OUT[1]}]
set_property PACKAGE_PIN U16 [get_ports {DBG_LEDS_OUT[0]}]