aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
blob: f254cddbae8c9f3148936865a3f8c96c83a6b954 (plain)
1
2
3
4
5
6
set_property PACKAGE_PIN A15 [get_ports clkSPI]
set_property PACKAGE_PIN C15 [get_ports csSPI]
set_property PACKAGE_PIN A17 [get_ports dataSPI]
set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
set_property IOSTANDARD LVCMOS33 [get_ports clkSPI]