aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/apu_lut_reader_tb.vhd
blob: 1b425bf3cda42376010b77fd40a9bc5d191972d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library unisim;
use unisim.vcomponents.all;

entity apu_lut_reader_tb is
end entity;

architecture Behavioral of apu_lut_reader_tb is
    component apu_lut_reader is
        port (
            clk   : in std_logic;
            rst : in std_logic;
            freq : in std_logic_vector(11 downto 0);
            wave : in std_logic_vector(1 downto 0);
            value : out std_logic_vector(7 downto 0)
        );
    end component;

    signal OK : boolean := false;

    signal clk : std_logic := '0';
    signal rst : std_logic := '0';
    signal freq : std_logic_vector(11 downto 0) := (others => '0');
    signal wave : std_logic_vector(1 downto 0) := (others => '0');

begin

    TB: process
    begin
        wave <= "00";
        for I in 0 to 255 loop
            clk <= '1';

            -- freq <= '1';


            wait for 1 ps;
            clk <= '0';
            wait for 1 ps;


        end loop;
    end process;

end architecture;