aboutsummaryrefslogtreecommitdiff
path: root/GameLogic/vhdl/cnts.xdc
blob: b8c0604f413428294b5c6d5463241453e9015d87 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
set_property PACKAGE_PIN W5 [get_ports clk100]
set_property PACKAGE_PIN P19 [get_ports hsync]
set_property PACKAGE_PIN R19 [get_ports vsync]
set_property PACKAGE_PIN N18 [get_ports {blue[3]}]
set_property PACKAGE_PIN L18 [get_ports {blue[2]}]
set_property PACKAGE_PIN K18 [get_ports {blue[1]}]
set_property PACKAGE_PIN J18 [get_ports {blue[0]}]
set_property PACKAGE_PIN J17 [get_ports {green[3]}]
set_property PACKAGE_PIN H17 [get_ports {green[2]}]
set_property PACKAGE_PIN G17 [get_ports {green[1]}]
set_property PACKAGE_PIN D17 [get_ports {green[0]}]
set_property PACKAGE_PIN G19 [get_ports {red[3]}]
set_property PACKAGE_PIN H19 [get_ports {red[2]}]
set_property PACKAGE_PIN J19 [get_ports {red[1]}]
set_property PACKAGE_PIN N19 [get_ports {red[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports clk100]
set_property IOSTANDARD LVCMOS33 [get_ports hsync]
set_property IOSTANDARD LVCMOS33 [get_ports vsync]
set_property IOSTANDARD LVCMOS33 [get_ports {blue[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green[0]}]

set_property PACKAGE_PIN T18 [get_ports resetButton]
set_property IOSTANDARD LVCMOS33 [get_ports resetButton]

set_property PACKAGE_PIN A15 [get_ports clkSPI]
set_property PACKAGE_PIN C15 [get_ports csSPI]
set_property PACKAGE_PIN A17 [get_ports dataSPI]
set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
set_property IOSTANDARD LVCMOS33 [get_ports clkSPI]
set_property PACKAGE_PIN L1 [get_ports {led[15]}]
set_property PACKAGE_PIN P1 [get_ports {led[14]}]
set_property PACKAGE_PIN N3 [get_ports {led[13]}]
set_property PACKAGE_PIN P3 [get_ports {led[12]}]
set_property PACKAGE_PIN U3 [get_ports {led[11]}]
set_property PACKAGE_PIN W3 [get_ports {led[10]}]
set_property PACKAGE_PIN V3 [get_ports {led[9]}]
set_property PACKAGE_PIN V13 [get_ports {led[8]}]
set_property PACKAGE_PIN V14 [get_ports {led[7]}]
set_property PACKAGE_PIN U14 [get_ports {led[6]}]
set_property PACKAGE_PIN U15 [get_ports {led[5]}]
set_property PACKAGE_PIN W18 [get_ports {led[4]}]
set_property PACKAGE_PIN V19 [get_ports {led[3]}]
set_property PACKAGE_PIN U19 [get_ports {led[2]}]
set_property PACKAGE_PIN E19 [get_ports {led[1]}]
set_property PACKAGE_PIN U16 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]