From ccff002705fb2dea16b4a2b733801b8b3fdfde2b Mon Sep 17 00:00:00 2001 From: lonkaars Date: Thu, 23 Feb 2023 15:14:36 +0100 Subject: sdl2 demo --- test/sdl2/makefile | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100644 test/sdl2/makefile (limited to 'test/sdl2/makefile') diff --git a/test/sdl2/makefile b/test/sdl2/makefile new file mode 100644 index 0000000..4c26dc3 --- /dev/null +++ b/test/sdl2/makefile @@ -0,0 +1,18 @@ +CC = gcc +LD = gcc +RM = rm -f +TARGET = main +LFLAGS += -lSDL2 -lm +OBJS += main.o + +all: $(TARGET) + +%.o: %.c + $(CC) -c $(CFLAGS) $< -o $@ + +$(TARGET): $(OBJS) + $(LD) $^ $(LFLAGS) -o $@ + +clean: + $(RM) $(TARGET) $(OBJS) + -- cgit v1.2.3