From 7e28d82bd0d1e5a79722958bd1b11616f990d726 Mon Sep 17 00:00:00 2001 From: lonkaars Date: Mon, 13 Mar 2023 20:52:03 +0100 Subject: fix write enable input line --- basys3/basys3.srcs/io.xdc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'basys3/basys3.srcs/io.xdc') diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc index 3a8966a..a537742 100644 --- a/basys3/basys3.srcs/io.xdc +++ b/basys3/basys3.srcs/io.xdc @@ -46,5 +46,5 @@ set_property IOSTANDARD LVCMOS33 [get_ports VBLANK] set_property IOSTANDARD LVCMOS33 [get_ports WEN] set_property PACKAGE_PIN C16 [get_ports VBLANK] -set_property PACKAGE_PIN V13 [get_ports WEN] +set_property PACKAGE_PIN A14 [get_ports WEN] -- cgit v1.2.3