diff options
Diffstat (limited to 'basys3/basys3.srcs/ppu_sprite_bg.vhd')
-rw-r--r-- | basys3/basys3.srcs/ppu_sprite_bg.vhd | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/basys3/basys3.srcs/ppu_sprite_bg.vhd b/basys3/basys3.srcs/ppu_sprite_bg.vhd index ef8ffc8..9b6643e 100644 --- a/basys3/basys3.srcs/ppu_sprite_bg.vhd +++ b/basys3/basys3.srcs/ppu_sprite_bg.vhd @@ -114,7 +114,7 @@ begin R_BAM_DATA <= (others => '0'); R_TMM_ADDR <= (others => '0'); R_TMM_DATA <= (others => '0'); - elsif rising_edge(CLK) then + elsif falling_edge(CLK) then BAM_ADDR_EN <= true when PL_STAGE = PL_BG_BAM_ADDR else false; TMM_ADDR_EN <= true when PL_STAGE = PL_BG_TMM_ADDR else false; -- R_BAM_ADDR <= T_BAM_ADDR; |