aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r--basys3/basys3.srcs/io.xdc2
1 files changed, 1 insertions, 1 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc
index 3a8966a..a537742 100644
--- a/basys3/basys3.srcs/io.xdc
+++ b/basys3/basys3.srcs/io.xdc
@@ -46,5 +46,5 @@ set_property IOSTANDARD LVCMOS33 [get_ports VBLANK]
set_property IOSTANDARD LVCMOS33 [get_ports WEN]
set_property PACKAGE_PIN C16 [get_ports VBLANK]
-set_property PACKAGE_PIN V13 [get_ports WEN]
+set_property PACKAGE_PIN A14 [get_ports WEN]