diff options
Diffstat (limited to 'basys3/basys3.srcs/apu_note_to_frequency_tb.vhd')
-rw-r--r-- | basys3/basys3.srcs/apu_note_to_frequency_tb.vhd | 6 |
1 files changed, 3 insertions, 3 deletions
diff --git a/basys3/basys3.srcs/apu_note_to_frequency_tb.vhd b/basys3/basys3.srcs/apu_note_to_frequency_tb.vhd index f48a40c..d7a611a 100644 --- a/basys3/basys3.srcs/apu_note_to_frequency_tb.vhd +++ b/basys3/basys3.srcs/apu_note_to_frequency_tb.vhd @@ -10,11 +10,11 @@ end entity; architecture Behavioral of apu_note_to_frequency_tb is component apu_note_to_frequency is port( - data : in std_logic_vector(7 downto 0); + data : in std_logic_vector(6 downto 0); freq : out std_logic_vector(11 downto 0)); -- frequency end component; - signal data : std_logic_vector(7 downto 0) := (others => '0'); + signal data : std_logic_vector(6 downto 0) := (others => '0'); signal freq : std_logic_vector(11 downto 0) := (others => '0'); signal ok : boolean := false; @@ -26,7 +26,7 @@ begin tb : process begin for i in 0 to 255 loop - data <= std_logic_vector(to_unsigned(i, 8)); + data <= std_logic_vector(to_unsigned(i, 7)); wait for 4 ps; end loop; end process; |