aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--basys3/basys3.srcs/io.xdc12
-rw-r--r--basys3/basys3.srcs/ppu.vhd10
-rw-r--r--basys3/basys3.srcs/spi.vhd71
-rw-r--r--basys3/basys3.srcs/top.vhd164
-rw-r--r--basys3/basys3.xpr22
-rw-r--r--docs/hardware/.gitignore1
-rw-r--r--docs/hardware/hardware.kicad_pcb2
-rw-r--r--docs/hardware/hardware.kicad_prl77
-rw-r--r--docs/hardware/hardware.kicad_pro332
-rw-r--r--docs/hardware/hardware.kicad_sch2050
-rw-r--r--pinout.md33
-rw-r--r--src/.vscode/c_cpp_properties.json16
-rw-r--r--src/.vscode/settings.json6
-rw-r--r--test/bin/test_file_read.c6
-rw-r--r--test/bin/tiles.bs544
15 files changed, 2627 insertions, 719 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc
index f254cdd..fa1dbd0 100644
--- a/basys3/basys3.srcs/io.xdc
+++ b/basys3/basys3.srcs/io.xdc
@@ -1,6 +1,6 @@
-set_property PACKAGE_PIN A15 [get_ports clkSPI]
-set_property PACKAGE_PIN C15 [get_ports csSPI]
-set_property PACKAGE_PIN A17 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports clkSPI] \ No newline at end of file
+set_property PACKAGE_PIN A15 [get_ports SPI_CLK]
+set_property PACKAGE_PIN C15 [get_ports SPI_CS]
+set_property PACKAGE_PIN A17 [get_ports SPI_MOSI]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK]
diff --git a/basys3/basys3.srcs/ppu.vhd b/basys3/basys3.srcs/ppu.vhd
index 9cf1bc0..d6407df 100644
--- a/basys3/basys3.srcs/ppu.vhd
+++ b/basys3/basys3.srcs/ppu.vhd
@@ -14,7 +14,7 @@ entity ppu is port(
DATA : in std_logic_vector(PPU_RAM_BUS_DATA_WIDTH-1 downto 0);
R,G,B : out std_logic_vector(PPU_COLOR_OUTPUT_DEPTH-1 downto 0);
NVSYNC, NHSYNC : out std_logic; -- native VGA out
- TVSYNC, TVBLANK, THSYNC, THBLANK : out std_logic); -- tiny VGA out
+ TVBLANK, THBLANK : out std_logic); -- tiny VGA out
end ppu;
architecture Behavioral of ppu is
@@ -189,7 +189,7 @@ architecture Behavioral of ppu is
signal BG_SHIFT_X : std_logic_vector(PPU_POS_H_WIDTH-1 downto 0);
signal BG_SHIFT_Y : std_logic_vector(PPU_POS_V_WIDTH-1 downto 0);
signal FG_FETCH : std_logic;
- signal TINY_VBLANK, TINY_VSYNC, TINY_HBLANK, TINY_HSYNC,
+ signal TINY_VBLANK, TINY_HBLANK,
NATIVE_VSYNC, NATIVE_HSYNC : std_logic;
begin
SYSCLK <= CLK100;
@@ -205,9 +205,7 @@ begin
PAL_AI <= (others => '0');
TVBLANK <= TINY_VBLANK;
- TVSYNC <= TINY_VSYNC;
THBLANK <= TINY_HBLANK;
- THSYNC <= TINY_HSYNC;
NVSYNC <= NATIVE_VSYNC;
NHSYNC <= NATIVE_HSYNC;
@@ -334,9 +332,9 @@ begin
RESET => SYSRST,
X => X,
Y => Y,
- VSYNC => TINY_VSYNC,
+ VSYNC => open,
VBLANK => TINY_VBLANK,
- HSYNC => TINY_HSYNC,
+ HSYNC => open,
HBLANK => TINY_HBLANK);
native_vga_signal_generator : component ppu_vga_native port map( -- native vga signal generator (upscaler)
diff --git a/basys3/basys3.srcs/spi.vhd b/basys3/basys3.srcs/spi.vhd
new file mode 100644
index 0000000..cdf7d4a
--- /dev/null
+++ b/basys3/basys3.srcs/spi.vhd
@@ -0,0 +1,71 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.std_logic_unsigned.all;
+use work.ppu_consts.all;
+
+entity spi is port (
+ SYSCLK : in std_logic; -- clock basys3 100MHz
+ SPI_CLK : in std_logic; -- incoming clock of SPI
+ SPI_MOSI : in std_logic; -- incoming data of SPI
+ SPI_CS : in std_logic; -- incoming select of SPI
+ DATA : out std_logic_vector(PPU_RAM_BUS_ADDR_WIDTH+PPU_RAM_BUS_DATA_WIDTH-1 downto 0)); -- data read
+end spi;
+
+architecture Behavioral of spi is
+ signal PulseFF0,PulseFF1,PulseFF2,PulseFF3 : std_logic := '0'; -- signal for metastability synchronizer of clk SPI
+ signal dataFF0,dataFF1,dataFF2,dataFF3 : std_logic := '0'; -- signal for metastability synchronizer of data SPI
+ signal ssFF0,ssFF1,ssFF2,ssFF3 : std_logic := '0'; -- signal for metastability synchronizer of slave select SPI
+
+ signal SPI_REG : std_logic_vector(PPU_RAM_BUS_ADDR_WIDTH+PPU_RAM_BUS_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal to store incomming data of dataSPI (2x 8bit)
+ signal counter : integer := 23; -- counter for data position
+ signal enable : std_logic := '0'; -- enable signal if slave is selected
+begin
+
+ process (SYSCLK)
+ begin
+ if rising_edge(SYSCLK) then
+ -- flip flop for clk SPI to synchronise a
+ PulseFF0 <= SPI_CLK;
+ PulseFF1 <= PulseFF0;
+ PulseFF2 <= PulseFF1;
+ PulseFF3 <= PulseFF2;
+ -- flip flop for data SPI to synchronise
+ dataFF0 <= SPI_MOSI;
+ dataFF1 <= dataFF0;
+ dataFF2 <= dataFF1;
+ dataFF3 <= dataFF2;
+ -- flip flop for slave select SPI to synchronise
+ ssFF0 <= SPI_CS;
+ ssFF1 <= ssFF0;
+ ssFF2 <= ssFF1;
+ ssFF3 <= ssFF2;
+ -- check if slave select signal has falling edge (slave is selected by master)
+ if(ssFF3 = '1' and ssFF2 = '0') then
+ -- reset counter if true
+ counter <= 23;
+ -- disable data read if rising edge (slave is not selected)
+ elsif (ssFF3 = '0' and ssFF2 = '1') then
+ enable <= '0';
+ end if;
+ -- check if synchronised slave select signal is falling edge or data read is enabled
+ if(ssFF3 = '1' and ssFF2 = '0') or enable = '1' then
+ enable <= '1'; -- enable data read
+ if (PulseFF3 = '0' and PulseFF2 = '1') then -- check for rising edge of clk SPI
+ if counter > -1 then
+ counter <= counter - 1;
+ -- data transfer into vector
+ SPI_REG(counter) <= dataFF3;
+ end if;
+ end if;
+ -- check if counter is done
+ if counter = -1 then
+ counter <= 23; -- reset counter
+ DATA <= SPI_REG;
+ end if;
+ elsif (enable = '0') then
+ -- DATA <= SPI_REG;
+ end if;
+ end if;
+ end process;
+end Behavioral;
diff --git a/basys3/basys3.srcs/top.vhd b/basys3/basys3.srcs/top.vhd
index 7cf3e63..558489b 100644
--- a/basys3/basys3.srcs/top.vhd
+++ b/basys3/basys3.srcs/top.vhd
@@ -1,105 +1,63 @@
-----------------------------------------------------------------------------------
--- Company:
--- Engineer:
---
--- Create Date: 15.02.2023 21:09:16
--- Design Name:
--- Module Name: top - Behavioral
--- Project Name:
--- Target Devices:
--- Tool Versions:
--- Description:
---
--- Dependencies:
---
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
---
-----------------------------------------------------------------------------------
-
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
-use IEEE.NUMERIC_STD.ALL;
-use IEEE.STD_LOGIC_UNSIGNED.ALL;
--- Uncomment the following library declaration if instantiating
--- any Xilinx leaf cells in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity spiSlave is
- Port ( clkBoard : in std_logic; -- clock basys3 100MHz
- clkSPI : in std_logic; -- incoming clock of SPI
- dataSPI : in std_logic; -- incoming data of SPI
- csSPI : in std_logic; -- incoming select of SPI
- dataRead : out std_logic_vector(23 downto 0) := (others => '0') -- data read
-
- );
-end spiSlave;
-
-architecture Behavioral of spiSlave is
- signal PulseFF0,PulseFF1,PulseFF2,PulseFF3 : std_logic := '0'; -- signal for metastability synchronizer of clk SPI
- signal dataFF0,dataFF1,dataFF2,dataFF3 : std_logic := '0'; -- signal for metastability synchronizer of data SPI
- signal ssFF0,ssFF1,ssFF2,ssFF3 : std_logic := '0'; -- signal for metastability synchronizer of slave select SPI
-
- signal data : std_logic_vector(23 downto 0) := (others => '0'); -- signal to store incomming data of dataSPI (2x 8bit)
- signal counter : integer := 23; --counter for data position
- signal enable : std_logic := '0'; -- enable signal if slave is selected
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.ppu_consts.all;
+
+entity top is port (
+ SYSCLK : in std_logic; -- clock basys3 100MHz
+ RESET : in std_logic; -- global (async) system reset
+ SPI_CLK : in std_logic; -- incoming clock of SPI
+ SPI_MOSI : in std_logic; -- incoming data of SPI
+ SPI_CS : in std_logic; -- incoming select of SPI
+ WEN : in std_logic; -- PPU VRAM write enable
+ R,G,B : out std_logic_vector(PPU_COLOR_OUTPUT_DEPTH-1 downto 0);
+ NVSYNC, NHSYNC : out std_logic; -- native VGA out
+ TVBLANK, THBLANK : out std_logic); -- tiny VGA out
+end top;
+
+architecture Behavioral of top is
+ component ppu port(
+ CLK100 : in std_logic; -- system clock
+ RESET : in std_logic; -- global (async) system reset
+ EN : in std_logic; -- PPU VRAM enable (enable ADDR and DATA tri-state drivers)
+ WEN : in std_logic; -- PPU VRAM write enable
+ ADDR : in std_logic_vector(PPU_RAM_BUS_ADDR_WIDTH-1 downto 0); -- PPU VRAM ADDR
+ DATA : in std_logic_vector(PPU_RAM_BUS_DATA_WIDTH-1 downto 0);
+ R,G,B : out std_logic_vector(PPU_COLOR_OUTPUT_DEPTH-1 downto 0);
+ NVSYNC, NHSYNC : out std_logic; -- native VGA out
+ TVBLANK, THBLANK : out std_logic); -- tiny VGA out
+ end component;
+ component spi port (
+ SYSCLK : in std_logic; -- clock basys3 100MHz
+ SPI_CLK : in std_logic; -- incoming clock of SPI
+ SPI_MOSI : in std_logic; -- incoming data of SPI
+ SPI_CS : in std_logic; -- incoming select of SPI
+ DATA : out std_logic_vector(PPU_RAM_BUS_ADDR_WIDTH+PPU_RAM_BUS_DATA_WIDTH-1 downto 0)); -- data read
+ end component;
+
+ signal SPI_DATA : std_logic_vector(PPU_RAM_BUS_ADDR_WIDTH+PPU_RAM_BUS_DATA_WIDTH-1 downto 0);
+ alias SPI_DATA_ADDR is SPI_DATA(31 downto 16);
+ alias SPI_DATA_DATA is SPI_DATA(15 downto 0);
begin
-
- process (clkBoard)
- begin
-
- if rising_edge(clkBoard) then
- -- flip flop for clk SPI to synchronise a
- PulseFF0 <= clkSPI;
- PulseFF1 <= PulseFF0;
- PulseFF2 <= PulseFF1;
- PulseFF3 <= PulseFF2;
- -- flip flop for data SPI to synchronise
- dataFF0 <= dataSPI;
- dataFF1 <= dataFF0;
- dataFF2 <= dataFF1;
- dataFF3 <= dataFF2;
- -- flip flop for slave select SPI to synchronise
- ssFF0 <= csSPI;
- ssFF1 <= ssFF0;
- ssFF2 <= ssFF1;
- ssFF3 <= ssFF2;
- -- check if slave select signal has falling edge (slave is selected by master)
- if(ssFF3 = '1' and ssFF2 = '0') then
- --reset counter if true
- counter <= 23;
- --disable data read if rising edge (slave is not selected)
- elsif (ssFF3 = '0' and ssFF2 = '1') then
- enable <= '0';
- end if;
- --check if synchronised slave select signal is falling edge or data read is enabled
- if(ssFF3 = '1' and ssFF2 = '0') or enable = '1' then
- enable <= '1'; --enable data read
- if (PulseFF3 = '0' and PulseFF2 = '1') then -- check for rising edge of clk SPI
- if counter > -1 then
- counter <= counter - 1;
- -- data transfer into vector
- data(counter) <= dataFF3;
- end if;
- end if;
- --check if counter is done
- if counter = -1 then
- counter <= 23; --reset counter
- dataRead <= data;
- end if;
- elsif (enable = '0') then
- --dataRead <= data;
-
- end if;
-
- end if;
-
- end process;
-
+ serial_peripheral_interface: component spi port map(
+ SYSCLK => SYSCLK,
+ SPI_CLK => SPI_CLK,
+ SPI_MOSI => SPI_MOSI,
+ SPI_CS => '1',
+ DATA => SPI_DATA);
+
+ picture_processing_unit: component ppu port map(
+ CLK100 => SYSCLK,
+ RESET => RESET,
+ EN => '1',
+ WEN => WEN,
+ ADDR => SPI_DATA_ADDR,
+ DATA => SPI_DATA_DATA,
+ R => R,
+ G => G,
+ B => B,
+ NVSYNC => NVSYNC,
+ NHSYNC => NHSYNC,
+ TVBLANK => TVBLANK,
+ THBLANK => THBLANK);
end Behavioral;
diff --git a/basys3/basys3.xpr b/basys3/basys3.xpr
index 4060a21..b4b83db 100644
--- a/basys3/basys3.xpr
+++ b/basys3/basys3.xpr
@@ -197,14 +197,32 @@
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
+ <File Path="$PSRCDIR/top.vhd">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="simulation"/>
+ </FileInfo>
+ </File>
+ <File Path="$PSRCDIR/spi.vhd">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="simulation"/>
+ </FileInfo>
+ </File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
- <Option Name="TopModule" Val="ppu"/>
+ <Option Name="TopModule" Val="top"/>
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
</Config>
</FileSet>
<FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
<Filter Type="Constrs"/>
+ <File Path="$PSRCDIR/io.xdc">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="implementation"/>
+ </FileInfo>
+ </File>
<Config>
<Option Name="ConstrsType" Val="XDC"/>
</Config>
@@ -298,6 +316,7 @@
<FileSet Name="ppu_bam" Type="BlockSrcs" RelSrcDir="$PSRCDIR/ppu_bam" RelGenDir="$PGENDIR/ppu_bam">
<File Path="$PSRCDIR/sources_1/ip/ppu_bam/ppu_bam.xci">
<FileInfo>
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
@@ -311,6 +330,7 @@
<FileSet Name="ppu_tmm" Type="BlockSrcs" RelSrcDir="$PSRCDIR/ppu_tmm" RelGenDir="$PGENDIR/ppu_tmm">
<File Path="$PSRCDIR/sources_1/ip/ppu_tmm/ppu_tmm.xci">
<FileInfo>
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
diff --git a/docs/hardware/.gitignore b/docs/hardware/.gitignore
new file mode 100644
index 0000000..8b1ed53
--- /dev/null
+++ b/docs/hardware/.gitignore
@@ -0,0 +1 @@
+hardware-backups/
diff --git a/docs/hardware/hardware.kicad_pcb b/docs/hardware/hardware.kicad_pcb
new file mode 100644
index 0000000..2b8ba10
--- /dev/null
+++ b/docs/hardware/hardware.kicad_pcb
@@ -0,0 +1,2 @@
+(kicad_pcb (version 20221018) (generator pcbnew)
+) \ No newline at end of file
diff --git a/docs/hardware/hardware.kicad_prl b/docs/hardware/hardware.kicad_prl
new file mode 100644
index 0000000..2c6f2c5
--- /dev/null
+++ b/docs/hardware/hardware.kicad_prl
@@ -0,0 +1,77 @@
+{
+ "board": {
+ "active_layer": 0,
+ "active_layer_preset": "",
+ "auto_track_width": true,
+ "hidden_netclasses": [],
+ "hidden_nets": [],
+ "high_contrast_mode": 0,
+ "net_color_mode": 1,
+ "opacity": {
+ "images": 0.6,
+ "pads": 1.0,
+ "tracks": 1.0,
+ "vias": 1.0,
+ "zones": 0.6
+ },
+ "selection_filter": {
+ "dimensions": true,
+ "footprints": true,
+ "graphics": true,
+ "keepouts": true,
+ "lockedItems": false,
+ "otherItems": true,
+ "pads": true,
+ "text": true,
+ "tracks": true,
+ "vias": true,
+ "zones": true
+ },
+ "visible_items": [
+ 0,
+ 1,
+ 2,
+ 3,
+ 4,
+ 5,
+ 8,
+ 9,
+ 10,
+ 11,
+ 12,
+ 13,
+ 15,
+ 16,
+ 17,
+ 18,
+ 19,
+ 20,
+ 21,
+ 22,
+ 23,
+ 24,
+ 25,
+ 26,
+ 27,
+ 28,
+ 29,
+ 30,
+ 32,
+ 33,
+ 34,
+ 35,
+ 36,
+ 39,
+ 40
+ ],
+ "visible_layers": "fffffff_ffffffff",
+ "zone_display_mode": 0
+ },
+ "meta": {
+ "filename": "hardware.kicad_prl",
+ "version": 3
+ },
+ "project": {
+ "files": []
+ }
+}
diff --git a/docs/hardware/hardware.kicad_pro b/docs/hardware/hardware.kicad_pro
new file mode 100644
index 0000000..a9b4a59
--- /dev/null
+++ b/docs/hardware/hardware.kicad_pro
@@ -0,0 +1,332 @@
+{
+ "board": {
+ "3dviewports": [],
+ "design_settings": {
+ "defaults": {
+ "board_outline_line_width": 0.1,
+ "copper_line_width": 0.2,
+ "copper_text_size_h": 1.5,
+ "copper_text_size_v": 1.5,
+ "copper_text_thickness": 0.3,
+ "other_line_width": 0.15,
+ "silk_line_width": 0.15,
+ "silk_text_size_h": 1.0,
+ "silk_text_size_v": 1.0,
+ "silk_text_thickness": 0.15
+ },
+ "diff_pair_dimensions": [],
+ "drc_exclusions": [],
+ "rules": {
+ "min_copper_edge_clearance": 0.0,
+ "solder_mask_clearance": 0.0,
+ "solder_mask_min_width": 0.0
+ },
+ "track_widths": [],
+ "via_dimensions": []
+ },
+ "layer_presets": [],
+ "viewports": []
+ },
+ "boards": [],
+ "cvpcb": {
+ "equivalence_files": []
+ },
+ "erc": {
+ "erc_exclusions": [],
+ "meta": {
+ "version": 0
+ },
+ "pin_map": [
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 1,
+ 0,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 2,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 0,
+ 1,
+ 1,
+ 1,
+ 1,
+ 1,
+ 2
+ ],
+ [
+ 0,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 0,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 2,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 2,
+ 2,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 0,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 0,
+ 2,
+ 1,
+ 1,
+ 0,
+ 0,
+ 1,
+ 0,
+ 2,
+ 0,
+ 0,
+ 2
+ ],
+ [
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2,
+ 2
+ ]
+ ],
+ "rule_severities": {
+ "bus_definition_conflict": "error",
+ "bus_entry_needed": "error",
+ "bus_to_bus_conflict": "error",
+ "bus_to_net_conflict": "error",
+ "conflicting_netclasses": "error",
+ "different_unit_footprint": "error",
+ "different_unit_net": "error",
+ "duplicate_reference": "error",
+ "duplicate_sheet_names": "error",
+ "endpoint_off_grid": "warning",
+ "extra_units": "error",
+ "global_label_dangling": "warning",
+ "hier_label_mismatch": "error",
+ "label_dangling": "error",
+ "lib_symbol_issues": "warning",
+ "missing_bidi_pin": "warning",
+ "missing_input_pin": "warning",
+ "missing_power_pin": "error",
+ "missing_unit": "warning",
+ "multiple_net_names": "warning",
+ "net_not_bus_member": "warning",
+ "no_connect_connected": "warning",
+ "no_connect_dangling": "warning",
+ "pin_not_connected": "error",
+ "pin_not_driven": "error",
+ "pin_to_pin": "warning",
+ "power_pin_not_driven": "error",
+ "similar_labels": "warning",
+ "simulation_model_issue": "error",
+ "unannotated": "error",
+ "unit_value_mismatch": "error",
+ "unresolved_variable": "error",
+ "wire_dangling": "error"
+ }
+ },
+ "libraries": {
+ "pinned_footprint_libs": [],
+ "pinned_symbol_libs": []
+ },
+ "meta": {
+ "filename": "hardware.kicad_pro",
+ "version": 1
+ },
+ "net_settings": {
+ "classes": [
+ {
+ "bus_width": 12,
+ "clearance": 0.2,
+ "diff_pair_gap": 0.25,
+ "diff_pair_via_gap": 0.25,
+ "diff_pair_width": 0.2,
+ "line_style": 0,
+ "microvia_diameter": 0.3,
+ "microvia_drill": 0.1,
+ "name": "Default",
+ "pcb_color": "rgba(0, 0, 0, 0.000)",
+ "schematic_color": "rgba(0, 0, 0, 0.000)",
+ "track_width": 0.25,
+ "via_diameter": 0.8,
+ "via_drill": 0.4,
+ "wire_width": 6
+ }
+ ],
+ "meta": {
+ "version": 3
+ },
+ "net_colors": null,
+ "netclass_assignments": null,
+ "netclass_patterns": []
+ },
+ "pcbnew": {
+ "last_paths": {
+ "gencad": "",
+ "idf": "",
+ "netlist": "",
+ "specctra_dsn": "",
+ "step": "",
+ "vrml": ""
+ },
+ "page_layout_descr_file": ""
+ },
+ "schematic": {
+ "annotate_start_num": 0,
+ "drawing": {
+ "dashed_lines_dash_length_ratio": 12.0,
+ "dashed_lines_gap_length_ratio": 3.0,
+ "default_line_thickness": 6.0,
+ "default_text_size": 50.0,
+ "field_names": [],
+ "intersheets_ref_own_page": false,
+ "intersheets_ref_prefix": "",
+ "intersheets_ref_short": false,
+ "intersheets_ref_show": false,
+ "intersheets_ref_suffix": "",
+ "junction_size_choice": 3,
+ "label_size_ratio": 0.375,
+ "pin_symbol_size": 25.0,
+ "text_offset_ratio": 0.15
+ },
+ "legacy_lib_dir": "",
+ "legacy_lib_list": [],
+ "meta": {
+ "version": 1
+ },
+ "net_format_name": "",
+ "page_layout_descr_file": "",
+ "plot_directory": "",
+ "spice_current_sheet_as_root": false,
+ "spice_external_command": "spice \"%I\"",
+ "spice_model_current_sheet_as_root": true,
+ "spice_save_all_currents": false,
+ "spice_save_all_voltages": false,
+ "subpart_first_id": 65,
+ "subpart_id_separator": 0
+ },
+ "sheets": [
+ [
+ "9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8",
+ ""
+ ]
+ ],
+ "text_variables": {}
+}
diff --git a/docs/hardware/hardware.kicad_sch b/docs/hardware/hardware.kicad_sch
new file mode 100644
index 0000000..21ed426
--- /dev/null
+++ b/docs/hardware/hardware.kicad_sch
@@ -0,0 +1,2050 @@
+(kicad_sch (version 20230121) (generator eeschema)
+
+ (uuid 9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8)
+
+ (paper "A4")
+
+ (lib_symbols
+ (symbol "FPGA_Xilinx_Artix7:XC7A35T-CPG236" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+ (property "Reference" "U" (at 0 1.27 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "XC7A35T-CPG236" (at 0 -1.27 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "ki_locked" "" (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "ki_keywords" "FPGA" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "Artix 7 T 35 XC7A35T-CPG236" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "XC7A35T-CPG236_1_1"
+ (rectangle (start -44.45 67.31) (end 44.45 -73.66)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin bidirectional line (at 50.8 53.34 180) (length 6.35)
+ (name "IO_L6P_T0_16" (effects (font (size 1.27 1.27))))
+ (number "A14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 50.8 180) (length 6.35)
+ (name "IO_L6N_T0_VREF_16" (effects (font (size 1.27 1.27))))
+ (number "A15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 43.18 180) (length 6.35)
+ (name "IO_L12P_T1_MRCC_16" (effects (font (size 1.27 1.27))))
+ (number "A16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 40.64 180) (length 6.35)
+ (name "IO_L12N_T1_MRCC_16" (effects (font (size 1.27 1.27))))
+ (number "A17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 25.4 180) (length 6.35)
+ (name "IO_L19N_T3_VREF_16" (effects (font (size 1.27 1.27))))
+ (number "A18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 45.72 180) (length 6.35)
+ (name "IO_L11N_T1_SRCC_16" (effects (font (size 1.27 1.27))))
+ (number "B15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 35.56 180) (length 6.35)
+ (name "IO_L13N_T2_MRCC_16" (effects (font (size 1.27 1.27))))
+ (number "B16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 30.48 180) (length 6.35)
+ (name "IO_L14N_T2_SRCC_16" (effects (font (size 1.27 1.27))))
+ (number "B17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 27.94 180) (length 6.35)
+ (name "IO_L19P_T3_16" (effects (font (size 1.27 1.27))))
+ (number "B18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 33.02 73.66 270) (length 6.35)
+ (name "VCCO_16" (effects (font (size 1.27 1.27))))
+ (number "B19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 35.56 73.66 270) (length 6.35)
+ (name "VCCO_16" (effects (font (size 1.27 1.27))))
+ (number "C14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 48.26 180) (length 6.35)
+ (name "IO_L11P_T1_SRCC_16" (effects (font (size 1.27 1.27))))
+ (number "C15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 38.1 180) (length 6.35)
+ (name "IO_L13P_T2_MRCC_16" (effects (font (size 1.27 1.27))))
+ (number "C16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 33.02 180) (length 6.35)
+ (name "IO_L14P_T2_SRCC_16" (effects (font (size 1.27 1.27))))
+ (number "C17" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 73.66 270) (length 6.35)
+ (name "VCCO_16" (effects (font (size 1.27 1.27))))
+ (number "C18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 53.34 0) (length 6.35)
+ (name "IO_0_14" (effects (font (size 1.27 1.27))))
+ (number "D17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 50.8 0) (length 6.35)
+ (name "IO_L1P_T0_D00_MOSI_14" (effects (font (size 1.27 1.27))))
+ (number "D18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 48.26 0) (length 6.35)
+ (name "IO_L1N_T0_D01_DIN_14" (effects (font (size 1.27 1.27))))
+ (number "D19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 40.64 0) (length 6.35)
+ (name "IO_L3P_T0_DQS_PUDC_B_14" (effects (font (size 1.27 1.27))))
+ (number "E18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 38.1 0) (length 6.35)
+ (name "IO_L3N_T0_DQS_EMCCLK_14" (effects (font (size 1.27 1.27))))
+ (number "E19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -40.64 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "F17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 43.18 0) (length 6.35)
+ (name "IO_L2N_T0_D03_14" (effects (font (size 1.27 1.27))))
+ (number "F18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 40.64 73.66 270) (length 6.35)
+ (name "VCCO_16" (effects (font (size 1.27 1.27))))
+ (number "G13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 27.94 0) (length 6.35)
+ (name "IO_L5N_T0_D07_14" (effects (font (size 1.27 1.27))))
+ (number "G17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 45.72 0) (length 6.35)
+ (name "IO_L2P_T0_D02_14" (effects (font (size 1.27 1.27))))
+ (number "G18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 33.02 0) (length 6.35)
+ (name "IO_L4N_T0_D05_14" (effects (font (size 1.27 1.27))))
+ (number "G19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 30.48 0) (length 6.35)
+ (name "IO_L5P_T0_D06_14" (effects (font (size 1.27 1.27))))
+ (number "H17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 35.56 0) (length 6.35)
+ (name "IO_L4P_T0_D04_14" (effects (font (size 1.27 1.27))))
+ (number "H19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 20.32 0) (length 6.35)
+ (name "IO_L7P_T1_D09_14" (effects (font (size 1.27 1.27))))
+ (number "J17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 17.78 0) (length 6.35)
+ (name "IO_L7N_T1_D10_14" (effects (font (size 1.27 1.27))))
+ (number "J18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 22.86 0) (length 6.35)
+ (name "IO_L6N_T0_D08_VREF_14" (effects (font (size 1.27 1.27))))
+ (number "J19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "K12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -35.56 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "K13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -7.62 0) (length 6.35)
+ (name "IO_L12N_T1_MRCC_14" (effects (font (size 1.27 1.27))))
+ (number "K17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 12.7 0) (length 6.35)
+ (name "IO_L8N_T1_D12_14" (effects (font (size 1.27 1.27))))
+ (number "K18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 25.4 0) (length 6.35)
+ (name "IO_L6P_T0_FCS_B_14" (effects (font (size 1.27 1.27))))
+ (number "K19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -33.02 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "L12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -30.48 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "L13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -5.08 0) (length 6.35)
+ (name "IO_L12P_T1_MRCC_14" (effects (font (size 1.27 1.27))))
+ (number "L17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 15.24 0) (length 6.35)
+ (name "IO_L8P_T1_D11_14" (effects (font (size 1.27 1.27))))
+ (number "L18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -27.94 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "M12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "M17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 0 0) (length 6.35)
+ (name "IO_L11P_T1_SRCC_14" (effects (font (size 1.27 1.27))))
+ (number "M18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -2.54 0) (length 6.35)
+ (name "IO_L11N_T1_SRCC_14" (effects (font (size 1.27 1.27))))
+ (number "M19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -10.16 0) (length 6.35)
+ (name "IO_L13P_T2_MRCC_14" (effects (font (size 1.27 1.27))))
+ (number "N17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 10.16 0) (length 6.35)
+ (name "IO_L9P_T1_DQS_14" (effects (font (size 1.27 1.27))))
+ (number "N18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 7.62 0) (length 6.35)
+ (name "IO_L9N_T1_DQS_D13_14" (effects (font (size 1.27 1.27))))
+ (number "N19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -12.7 0) (length 6.35)
+ (name "IO_L13N_T2_MRCC_14" (effects (font (size 1.27 1.27))))
+ (number "P17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -15.24 0) (length 6.35)
+ (name "IO_L14P_T2_SRCC_14" (effects (font (size 1.27 1.27))))
+ (number "P18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 5.08 0) (length 6.35)
+ (name "IO_L10P_T1_D14_14" (effects (font (size 1.27 1.27))))
+ (number "P19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -22.86 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "R17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -17.78 0) (length 6.35)
+ (name "IO_L14N_T2_SRCC_14" (effects (font (size 1.27 1.27))))
+ (number "R18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 2.54 0) (length 6.35)
+ (name "IO_L10N_T1_D15_14" (effects (font (size 1.27 1.27))))
+ (number "R19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -30.48 0) (length 6.35)
+ (name "IO_L17P_T2_A14_D30_14" (effects (font (size 1.27 1.27))))
+ (number "T17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -33.02 0) (length 6.35)
+ (name "IO_L17N_T2_A13_D29_14" (effects (font (size 1.27 1.27))))
+ (number "T18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -20.32 73.66 270) (length 6.35)
+ (name "VCCO_14" (effects (font (size 1.27 1.27))))
+ (number "U13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -71.12 0) (length 6.35)
+ (name "IO_25_14" (effects (font (size 1.27 1.27))))
+ (number "U14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -60.96 0) (length 6.35)
+ (name "IO_L23P_T3_A03_D19_14" (effects (font (size 1.27 1.27))))
+ (number "U15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -63.5 0) (length 6.35)
+ (name "IO_L23N_T3_A02_D18_14" (effects (font (size 1.27 1.27))))
+ (number "U16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -35.56 0) (length 6.35)
+ (name "IO_L18P_T2_A12_D28_14" (effects (font (size 1.27 1.27))))
+ (number "U17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -38.1 0) (length 6.35)
+ (name "IO_L18N_T2_A11_D27_14" (effects (font (size 1.27 1.27))))
+ (number "U18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -20.32 0) (length 6.35)
+ (name "IO_L15P_T2_DQS_RDWR_B_14" (effects (font (size 1.27 1.27))))
+ (number "U19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -66.04 0) (length 6.35)
+ (name "IO_L24P_T3_A01_D17_14" (effects (font (size 1.27 1.27))))
+ (number "V13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -68.58 0) (length 6.35)
+ (name "IO_L24N_T3_A00_D16_14" (effects (font (size 1.27 1.27))))
+ (number "V14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -50.8 0) (length 6.35)
+ (name "IO_L21P_T3_DQS_14" (effects (font (size 1.27 1.27))))
+ (number "V15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -40.64 0) (length 6.35)
+ (name "IO_L19P_T3_A10_D26_14" (effects (font (size 1.27 1.27))))
+ (number "V16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -43.18 0) (length 6.35)
+ (name "IO_L19N_T3_A09_D25_VREF_14" (effects (font (size 1.27 1.27))))
+ (number "V17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -22.86 0) (length 6.35)
+ (name "IO_L15N_T2_DQS_DOUT_CSO_B_14" (effects (font (size 1.27 1.27))))
+ (number "V19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -55.88 0) (length 6.35)
+ (name "IO_L22P_T3_A05_D21_14" (effects (font (size 1.27 1.27))))
+ (number "W13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -58.42 0) (length 6.35)
+ (name "IO_L22N_T3_A04_D20_14" (effects (font (size 1.27 1.27))))
+ (number "W14" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -53.34 0) (length 6.35)
+ (name "IO_L21N_T3_DQS_A06_D22_14" (effects (font (size 1.27 1.27))))
+ (number "W15" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -45.72 0) (length 6.35)
+ (name "IO_L20P_T3_A08_D24_14" (effects (font (size 1.27 1.27))))
+ (number "W16" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -48.26 0) (length 6.35)
+ (name "IO_L20N_T3_A07_D23_14" (effects (font (size 1.27 1.27))))
+ (number "W17" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -25.4 0) (length 6.35)
+ (name "IO_L16P_T2_CSI_B_14" (effects (font (size 1.27 1.27))))
+ (number "W18" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -27.94 0) (length 6.35)
+ (name "IO_L16N_T2_A15_D31_14" (effects (font (size 1.27 1.27))))
+ (number "W19" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "XC7A35T-CPG236_2_1"
+ (rectangle (start -44.45 34.29) (end 44.45 -40.64)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin bidirectional line (at 50.8 17.78 180) (length 6.35)
+ (name "IO_L1N_T0_AD4N_35" (effects (font (size 1.27 1.27))))
+ (number "G2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 20.32 180) (length 6.35)
+ (name "IO_L1P_T0_AD4P_35" (effects (font (size 1.27 1.27))))
+ (number "G3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 10.16 180) (length 6.35)
+ (name "IO_L3P_T0_DQS_AD5P_35" (effects (font (size 1.27 1.27))))
+ (number "H1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 15.24 180) (length 6.35)
+ (name "IO_L2P_T0_AD12P_35" (effects (font (size 1.27 1.27))))
+ (number "H2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 27.94 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "H3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 7.62 180) (length 6.35)
+ (name "IO_L3N_T0_DQS_AD5N_35" (effects (font (size 1.27 1.27))))
+ (number "J1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 12.7 180) (length 6.35)
+ (name "IO_L2N_T0_AD12N_35" (effects (font (size 1.27 1.27))))
+ (number "J2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -2.54 180) (length 6.35)
+ (name "IO_L7P_T1_AD6P_35" (effects (font (size 1.27 1.27))))
+ (number "J3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 30.48 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "J7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 33.02 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "K1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 5.08 180) (length 6.35)
+ (name "IO_L5P_T0_AD13P_35" (effects (font (size 1.27 1.27))))
+ (number "K2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -5.08 180) (length 6.35)
+ (name "IO_L7N_T1_AD6N_35" (effects (font (size 1.27 1.27))))
+ (number "K3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 35.56 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "K7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 0 180) (length 6.35)
+ (name "IO_L6N_T0_VREF_35" (effects (font (size 1.27 1.27))))
+ (number "L1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 2.54 180) (length 6.35)
+ (name "IO_L5N_T0_AD13N_35" (effects (font (size 1.27 1.27))))
+ (number "L2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -7.62 180) (length 6.35)
+ (name "IO_L8P_T1_AD14P_35" (effects (font (size 1.27 1.27))))
+ (number "L3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "L7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -15.24 180) (length 6.35)
+ (name "IO_L9N_T1_DQS_AD7N_35" (effects (font (size 1.27 1.27))))
+ (number "M1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -12.7 180) (length 6.35)
+ (name "IO_L9P_T1_DQS_AD7P_35" (effects (font (size 1.27 1.27))))
+ (number "M2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -10.16 180) (length 6.35)
+ (name "IO_L8N_T1_AD14N_35" (effects (font (size 1.27 1.27))))
+ (number "M3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 40.64 40.64 270) (length 6.35)
+ (name "VCCO_35" (effects (font (size 1.27 1.27))))
+ (number "M7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -40.64 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "M8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -20.32 180) (length 6.35)
+ (name "IO_L10N_T1_AD15N_35" (effects (font (size 1.27 1.27))))
+ (number "N1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -17.78 180) (length 6.35)
+ (name "IO_L10P_T1_AD15P_35" (effects (font (size 1.27 1.27))))
+ (number "N2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -22.86 180) (length 6.35)
+ (name "IO_L12P_T1_MRCC_35" (effects (font (size 1.27 1.27))))
+ (number "N3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "N7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -35.56 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "N8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -27.94 180) (length 6.35)
+ (name "IO_L19N_T3_VREF_35" (effects (font (size 1.27 1.27))))
+ (number "P1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 50.8 -25.4 180) (length 6.35)
+ (name "IO_L12N_T1_MRCC_35" (effects (font (size 1.27 1.27))))
+ (number "P3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -33.02 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "R1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 20.32 0) (length 6.35)
+ (name "IO_L1P_T0_34" (effects (font (size 1.27 1.27))))
+ (number "R2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 15.24 0) (length 6.35)
+ (name "IO_L2P_T0_34" (effects (font (size 1.27 1.27))))
+ (number "R3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 10.16 0) (length 6.35)
+ (name "IO_L3P_T0_DQS_34" (effects (font (size 1.27 1.27))))
+ (number "T1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 17.78 0) (length 6.35)
+ (name "IO_L1N_T0_34" (effects (font (size 1.27 1.27))))
+ (number "T2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 12.7 0) (length 6.35)
+ (name "IO_L2N_T0_34" (effects (font (size 1.27 1.27))))
+ (number "T3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 7.62 0) (length 6.35)
+ (name "IO_L3N_T0_DQS_34" (effects (font (size 1.27 1.27))))
+ (number "U1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -7.62 0) (length 6.35)
+ (name "IO_L9N_T1_DQS_34" (effects (font (size 1.27 1.27))))
+ (number "U2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -5.08 0) (length 6.35)
+ (name "IO_L9P_T1_DQS_34" (effects (font (size 1.27 1.27))))
+ (number "U3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -10.16 0) (length 6.35)
+ (name "IO_L11P_T1_SRCC_34" (effects (font (size 1.27 1.27))))
+ (number "U4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -30.48 0) (length 6.35)
+ (name "IO_L16P_T2_34" (effects (font (size 1.27 1.27))))
+ (number "U5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -35.56 0) (length 6.35)
+ (name "IO_L19P_T3_34" (effects (font (size 1.27 1.27))))
+ (number "U7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -25.4 0) (length 6.35)
+ (name "IO_L14P_T2_SRCC_34" (effects (font (size 1.27 1.27))))
+ (number "U8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -30.48 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "V1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 5.08 0) (length 6.35)
+ (name "IO_L5P_T0_34" (effects (font (size 1.27 1.27))))
+ (number "V2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 0 0) (length 6.35)
+ (name "IO_L6P_T0_34" (effects (font (size 1.27 1.27))))
+ (number "V3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -12.7 0) (length 6.35)
+ (name "IO_L11N_T1_SRCC_34" (effects (font (size 1.27 1.27))))
+ (number "V4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -33.02 0) (length 6.35)
+ (name "IO_L16N_T2_34" (effects (font (size 1.27 1.27))))
+ (number "V5" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -27.94 40.64 270) (length 6.35)
+ (name "VCCO_34" (effects (font (size 1.27 1.27))))
+ (number "V6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -38.1 0) (length 6.35)
+ (name "IO_L19N_T3_VREF_34" (effects (font (size 1.27 1.27))))
+ (number "V7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -27.94 0) (length 6.35)
+ (name "IO_L14N_T2_SRCC_34" (effects (font (size 1.27 1.27))))
+ (number "V8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 2.54 0) (length 6.35)
+ (name "IO_L5N_T0_34" (effects (font (size 1.27 1.27))))
+ (number "W2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -2.54 0) (length 6.35)
+ (name "IO_L6N_T0_VREF_34" (effects (font (size 1.27 1.27))))
+ (number "W3" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -17.78 0) (length 6.35)
+ (name "IO_L12N_T1_MRCC_34" (effects (font (size 1.27 1.27))))
+ (number "W4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -15.24 0) (length 6.35)
+ (name "IO_L12P_T1_MRCC_34" (effects (font (size 1.27 1.27))))
+ (number "W5" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -22.86 0) (length 6.35)
+ (name "IO_L13N_T2_MRCC_34" (effects (font (size 1.27 1.27))))
+ (number "W6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -50.8 -20.32 0) (length 6.35)
+ (name "IO_L13P_T2_MRCC_34" (effects (font (size 1.27 1.27))))
+ (number "W7" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "XC7A35T-CPG236_3_1"
+ (rectangle (start -31.75 20.32) (end 31.75 -20.32)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin bidirectional line (at -38.1 -15.24 0) (length 6.35)
+ (name "MGTREFCLK1N_216" (effects (font (size 1.27 1.27))))
+ (number "A10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 10.16 0) (length 6.35)
+ (name "MGTPTXN1_216" (effects (font (size 1.27 1.27))))
+ (number "A2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 2.54 0) (length 6.35)
+ (name "MGTPRXN0_216" (effects (font (size 1.27 1.27))))
+ (number "A4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 -2.54 0) (length 6.35)
+ (name "MGTPRXN1_216" (effects (font (size 1.27 1.27))))
+ (number "A6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 -10.16 0) (length 6.35)
+ (name "MGTREFCLK0N_216" (effects (font (size 1.27 1.27))))
+ (number "A8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 -12.7 0) (length 6.35)
+ (name "MGTREFCLK1P_216" (effects (font (size 1.27 1.27))))
+ (number "B10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 12.7 0) (length 6.35)
+ (name "MGTPTXP1_216" (effects (font (size 1.27 1.27))))
+ (number "B2" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 5.08 0) (length 6.35)
+ (name "MGTPRXP0_216" (effects (font (size 1.27 1.27))))
+ (number "B4" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 0 0) (length 6.35)
+ (name "MGTPRXP1_216" (effects (font (size 1.27 1.27))))
+ (number "B6" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 -7.62 0) (length 6.35)
+ (name "MGTREFCLK0P_216" (effects (font (size 1.27 1.27))))
+ (number "B8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 15.24 0) (length 6.35)
+ (name "MGTPTXN0_216" (effects (font (size 1.27 1.27))))
+ (number "D1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -38.1 17.78 0) (length 6.35)
+ (name "MGTPTXP0_216" (effects (font (size 1.27 1.27))))
+ (number "D2" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "XC7A35T-CPG236_4_1"
+ (rectangle (start -31.75 10.16) (end 31.75 -10.16)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin power_in line (at 38.1 7.62 180) (length 6.35)
+ (name "MGTAVTT" (effects (font (size 1.27 1.27))))
+ (number "B1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 7.62 0) (length 6.35)
+ (name "MGTAVCC" (effects (font (size 1.27 1.27))))
+ (number "C1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 5.08 180) (length 6.35)
+ (name "MGTAVTT" (effects (font (size 1.27 1.27))))
+ (number "C5" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 -5.08 180) (length 6.35)
+ (name "MGTRREF_216" (effects (font (size 1.27 1.27))))
+ (number "C7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 5.08 0) (length 6.35)
+ (name "MGTAVCC" (effects (font (size 1.27 1.27))))
+ (number "E1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 2.54 180) (length 6.35)
+ (name "MGTAVTT" (effects (font (size 1.27 1.27))))
+ (number "E2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 2.54 0) (length 6.35)
+ (name "MGTAVCC" (effects (font (size 1.27 1.27))))
+ (number "F3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 38.1 0 180) (length 6.35)
+ (name "MGTAVTT" (effects (font (size 1.27 1.27))))
+ (number "G7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 0 0) (length 6.35)
+ (name "MGTAVCC" (effects (font (size 1.27 1.27))))
+ (number "G9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -38.1 -2.54 0) (length 6.35)
+ (name "MGTAVCC" (effects (font (size 1.27 1.27))))
+ (number "H9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "XC7A35T-CPG236_5_1"
+ (rectangle (start -31.75 34.29) (end 31.75 -40.64)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin bidirectional line (at 38.1 -35.56 180) (length 6.35)
+ (name "DXP_0" (effects (font (size 1.27 1.27))))
+ (number "A11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -20.32 180) (length 6.35)
+ (name "VP_0" (effects (font (size 1.27 1.27))))
+ (number "A12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -30.48 180) (length 6.35)
+ (name "VREFN_0" (effects (font (size 1.27 1.27))))
+ (number "A13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -38.1 180) (length 6.35)
+ (name "DXN_0" (effects (font (size 1.27 1.27))))
+ (number "B11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -27.94 180) (length 6.35)
+ (name "VREFP_0" (effects (font (size 1.27 1.27))))
+ (number "B12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -22.86 180) (length 6.35)
+ (name "VN_0" (effects (font (size 1.27 1.27))))
+ (number "B13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 7.62 180) (length 6.35)
+ (name "CCLK_0" (effects (font (size 1.27 1.27))))
+ (number "C11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 12.7 180) (length 6.35)
+ (name "TCK_0" (effects (font (size 1.27 1.27))))
+ (number "C8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 40.64 270) (length 6.35)
+ (name "VCCO_0" (effects (font (size 1.27 1.27))))
+ (number "G12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 0 180) (length 6.35)
+ (name "M2_0" (effects (font (size 1.27 1.27))))
+ (number "U10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -7.62 180) (length 6.35)
+ (name "INIT_B_0" (effects (font (size 1.27 1.27))))
+ (number "U11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -5.08 180) (length 6.35)
+ (name "DONE_0" (effects (font (size 1.27 1.27))))
+ (number "U12" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -10.16 180) (length 6.35)
+ (name "PROGRAM_B_0" (effects (font (size 1.27 1.27))))
+ (number "V10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 -15.24 180) (length 6.35)
+ (name "CFGBVS_0" (effects (font (size 1.27 1.27))))
+ (number "V11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 5.08 180) (length 6.35)
+ (name "M0_0" (effects (font (size 1.27 1.27))))
+ (number "V12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 27.94 40.64 270) (length 6.35)
+ (name "VCCO_0" (effects (font (size 1.27 1.27))))
+ (number "V9" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 20.32 180) (length 6.35)
+ (name "TDI_0" (effects (font (size 1.27 1.27))))
+ (number "W10" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 2.54 180) (length 6.35)
+ (name "M1_0" (effects (font (size 1.27 1.27))))
+ (number "W11" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 17.78 180) (length 6.35)
+ (name "TDO_0" (effects (font (size 1.27 1.27))))
+ (number "W8" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 38.1 15.24 180) (length 6.35)
+ (name "TMS_0" (effects (font (size 1.27 1.27))))
+ (number "W9" (effects (font (size 1.27 1.27))))
+ )
+ )
+ (symbol "XC7A35T-CPG236_6_1"
+ (rectangle (start -19.05 45.72) (end 19.05 -45.72)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ (pin power_in line (at -25.4 20.32 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 7.62 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 17.78 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 15.24 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A5" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 12.7 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 10.16 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "A9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -5.08 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B14" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 5.08 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 2.54 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B5" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 0 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -2.54 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "B9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -17.78 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 35.56 0) (length 6.35)
+ (name "GNDADC_0" (effects (font (size 1.27 1.27))))
+ (number "C12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 33.02 0) (length 6.35)
+ (name "VCCADC_0" (effects (font (size 1.27 1.27))))
+ (number "C13" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -20.32 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -7.62 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -10.16 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -12.7 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C4" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -15.24 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "C6" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 30.48 0) (length 6.35)
+ (name "VCCBATT_0" (effects (font (size 1.27 1.27))))
+ (number "C9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -22.86 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "D3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -27.94 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "E17" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -25.4 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "E3" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -30.48 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "F1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -35.56 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "F19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -33.02 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "F2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -38.1 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "G1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 43.18 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "G10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -43.18 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "G11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 -40.64 0) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "G8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 40.64 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "H10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 15.24 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "H11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 12.7 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "H12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 43.18 0) (length 6.35)
+ (name "VCCAUX" (effects (font (size 1.27 1.27))))
+ (number "H13" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 10.16 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "H18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 20.32 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "H7" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 17.78 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "H8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 38.1 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "J10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 2.54 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "J11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 0 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "J12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 40.64 0) (length 6.35)
+ (name "VCCAUX" (effects (font (size 1.27 1.27))))
+ (number "J13" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 7.62 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "J8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 5.08 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "J9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -2.54 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "K8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 35.56 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "L10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -10.16 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "L11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -12.7 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "L19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -5.08 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "L8" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -7.62 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "L9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 33.02 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "M10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 27.94 0) (length 6.35)
+ (name "VCCBRAM" (effects (font (size 1.27 1.27))))
+ (number "M11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -17.78 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "M13" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -15.24 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "M9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 30.48 180) (length 6.35)
+ (name "VCCINT" (effects (font (size 1.27 1.27))))
+ (number "N10" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -25.4 25.4 0) (length 6.35)
+ (name "VCCBRAM" (effects (font (size 1.27 1.27))))
+ (number "N11" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -22.86 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "N12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -25.4 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "N13" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -20.32 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "N9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -27.94 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "P2" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -30.48 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "T19" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -33.02 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "U6" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -35.56 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "U9" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -38.1 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "V18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -40.64 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "W1" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 25.4 -43.18 180) (length 6.35)
+ (name "GND" (effects (font (size 1.27 1.27))))
+ (number "W12" (effects (font (size 1.27 1.27))))
+ )
+ )
+ )
+ (symbol "MCU_ST_STM32F0:STM32F091RCTx" (in_bom yes) (on_board yes)
+ (property "Reference" "U" (at -12.7 46.99 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32F091RCTx" (at 10.16 46.99 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm" (at -12.7 -43.18 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32f091rc.pdf" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_locked" "" (at 0 0 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "ki_keywords" "Arm Cortex-M0 STM32F0 STM32F0x1" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_description" "STMicroelectronics Arm Cortex-M0 MCU, 256KB flash, 32KB RAM, 48 MHz, 2.0-3.6V, 52 GPIO, LQFP64" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "ki_fp_filters" "LQFP*10x10mm*P0.5mm*" (at 0 0 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (symbol "STM32F091RCTx_0_1"
+ (rectangle (start -12.7 -43.18) (end 15.24 45.72)
+ (stroke (width 0.254) (type default))
+ (fill (type background))
+ )
+ )
+ (symbol "STM32F091RCTx_1_1"
+ (pin power_in line (at -5.08 48.26 270) (length 2.54)
+ (name "VBAT" (effects (font (size 1.27 1.27))))
+ (number "1" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -15.24 17.78 0) (length 2.54)
+ (name "PC2" (effects (font (size 1.27 1.27))))
+ (number "10" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN12" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "USART8_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 15.24 0) (length 2.54)
+ (name "PC3" (effects (font (size 1.27 1.27))))
+ (number "11" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN13" bidirectional line)
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "USART8_RX" bidirectional line)
+ )
+ (pin power_in line (at 2.54 -45.72 90) (length 2.54)
+ (name "VSSA" (effects (font (size 1.27 1.27))))
+ (number "12" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 5.08 48.26 270) (length 2.54)
+ (name "VDDA" (effects (font (size 1.27 1.27))))
+ (number "13" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 17.78 43.18 180) (length 2.54)
+ (name "PA0" (effects (font (size 1.27 1.27))))
+ (number "14" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN0" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "RTC_TAMP2" bidirectional line)
+ (alternate "SYS_WKUP1" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "TSC_G1_IO1" bidirectional line)
+ (alternate "USART2_CTS" bidirectional line)
+ (alternate "USART4_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 40.64 180) (length 2.54)
+ (name "PA1" (effects (font (size 1.27 1.27))))
+ (number "15" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN1" bidirectional line)
+ (alternate "COMP1_INP" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "TSC_G1_IO2" bidirectional line)
+ (alternate "USART2_DE" bidirectional line)
+ (alternate "USART2_RTS" bidirectional line)
+ (alternate "USART4_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 38.1 180) (length 2.54)
+ (name "PA2" (effects (font (size 1.27 1.27))))
+ (number "16" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN2" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "SYS_WKUP4" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TSC_G1_IO3" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 35.56 180) (length 2.54)
+ (name "PA3" (effects (font (size 1.27 1.27))))
+ (number "17" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN3" bidirectional line)
+ (alternate "COMP2_INP" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TSC_G1_IO4" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ )
+ (pin power_in line (at 0 -45.72 90) (length 2.54)
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "18" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at -2.54 48.26 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "19" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -15.24 -10.16 0) (length 2.54)
+ (name "PC13" (effects (font (size 1.27 1.27))))
+ (number "2" (effects (font (size 1.27 1.27))))
+ (alternate "RTC_OUT_ALARM" bidirectional line)
+ (alternate "RTC_OUT_CALIB" bidirectional line)
+ (alternate "RTC_TAMP1" bidirectional line)
+ (alternate "RTC_TS" bidirectional line)
+ (alternate "SYS_WKUP2" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 33.02 180) (length 2.54)
+ (name "PA4" (effects (font (size 1.27 1.27))))
+ (number "20" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN4" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "DAC_OUT1" bidirectional line)
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TSC_G2_IO1" bidirectional line)
+ (alternate "USART2_CK" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 30.48 180) (length 2.54)
+ (name "PA5" (effects (font (size 1.27 1.27))))
+ (number "21" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN5" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "COMP1_INM" bidirectional line)
+ (alternate "COMP2_INM" bidirectional line)
+ (alternate "DAC_OUT2" bidirectional line)
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "TSC_G2_IO2" bidirectional line)
+ (alternate "USART6_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 27.94 180) (length 2.54)
+ (name "PA6" (effects (font (size 1.27 1.27))))
+ (number "22" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN6" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TIM1_BKIN" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "TSC_G2_IO3" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 25.4 180) (length 2.54)
+ (name "PA7" (effects (font (size 1.27 1.27))))
+ (number "23" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN7" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "TSC_G2_IO4" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 12.7 0) (length 2.54)
+ (name "PC4" (effects (font (size 1.27 1.27))))
+ (number "24" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN14" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 10.16 0) (length 2.54)
+ (name "PC5" (effects (font (size 1.27 1.27))))
+ (number "25" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN15" bidirectional line)
+ (alternate "SYS_WKUP5" bidirectional line)
+ (alternate "TSC_G3_IO1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 0 180) (length 2.54)
+ (name "PB0" (effects (font (size 1.27 1.27))))
+ (number "26" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN8" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "TSC_G3_IO2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -2.54 180) (length 2.54)
+ (name "PB1" (effects (font (size 1.27 1.27))))
+ (number "27" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN9" bidirectional line)
+ (alternate "TIM14_CH1" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "TSC_G3_IO3" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -5.08 180) (length 2.54)
+ (name "PB2" (effects (font (size 1.27 1.27))))
+ (number "28" (effects (font (size 1.27 1.27))))
+ (alternate "TSC_G3_IO4" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -25.4 180) (length 2.54)
+ (name "PB10" (effects (font (size 1.27 1.27))))
+ (number "29" (effects (font (size 1.27 1.27))))
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM2_CH3" bidirectional line)
+ (alternate "TSC_SYNC" bidirectional line)
+ (alternate "USART3_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 -12.7 0) (length 2.54)
+ (name "PC14" (effects (font (size 1.27 1.27))))
+ (number "3" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_IN" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -27.94 180) (length 2.54)
+ (name "PB11" (effects (font (size 1.27 1.27))))
+ (number "30" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "TIM2_CH4" bidirectional line)
+ (alternate "TSC_G6_IO1" bidirectional line)
+ (alternate "USART3_RX" bidirectional line)
+ )
+ (pin passive line (at 0 -45.72 90) (length 2.54) hide
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "31" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 0 48.26 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "32" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 17.78 -30.48 180) (length 2.54)
+ (name "PB12" (effects (font (size 1.27 1.27))))
+ (number "33" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM15_BKIN" bidirectional line)
+ (alternate "TIM1_BKIN" bidirectional line)
+ (alternate "TSC_G6_IO2" bidirectional line)
+ (alternate "USART3_CK" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -33.02 180) (length 2.54)
+ (name "PB13" (effects (font (size 1.27 1.27))))
+ (number "34" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "I2S2_CK" bidirectional line)
+ (alternate "SPI2_SCK" bidirectional line)
+ (alternate "TIM1_CH1N" bidirectional line)
+ (alternate "TSC_G6_IO3" bidirectional line)
+ (alternate "USART3_CTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -35.56 180) (length 2.54)
+ (name "PB14" (effects (font (size 1.27 1.27))))
+ (number "35" (effects (font (size 1.27 1.27))))
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "I2S2_MCK" bidirectional line)
+ (alternate "SPI2_MISO" bidirectional line)
+ (alternate "TIM15_CH1" bidirectional line)
+ (alternate "TIM1_CH2N" bidirectional line)
+ (alternate "TSC_G6_IO4" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -38.1 180) (length 2.54)
+ (name "PB15" (effects (font (size 1.27 1.27))))
+ (number "36" (effects (font (size 1.27 1.27))))
+ (alternate "I2S2_SD" bidirectional line)
+ (alternate "RTC_REFIN" bidirectional line)
+ (alternate "SPI2_MOSI" bidirectional line)
+ (alternate "SYS_WKUP7" bidirectional line)
+ (alternate "TIM15_CH1N" bidirectional line)
+ (alternate "TIM15_CH2" bidirectional line)
+ (alternate "TIM1_CH3N" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 7.62 0) (length 2.54)
+ (name "PC6" (effects (font (size 1.27 1.27))))
+ (number "37" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "USART7_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 5.08 0) (length 2.54)
+ (name "PC7" (effects (font (size 1.27 1.27))))
+ (number "38" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART7_RX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 2.54 0) (length 2.54)
+ (name "PC8" (effects (font (size 1.27 1.27))))
+ (number "39" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_CH3" bidirectional line)
+ (alternate "USART8_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 -15.24 0) (length 2.54)
+ (name "PC15" (effects (font (size 1.27 1.27))))
+ (number "4" (effects (font (size 1.27 1.27))))
+ (alternate "RCC_OSC32_OUT" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 0 0) (length 2.54)
+ (name "PC9" (effects (font (size 1.27 1.27))))
+ (number "40" (effects (font (size 1.27 1.27))))
+ (alternate "DAC_EXTI9" bidirectional line)
+ (alternate "TIM3_CH4" bidirectional line)
+ (alternate "USART8_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 22.86 180) (length 2.54)
+ (name "PA8" (effects (font (size 1.27 1.27))))
+ (number "41" (effects (font (size 1.27 1.27))))
+ (alternate "CRS_SYNC" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "TIM1_CH1" bidirectional line)
+ (alternate "USART1_CK" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 20.32 180) (length 2.54)
+ (name "PA9" (effects (font (size 1.27 1.27))))
+ (number "42" (effects (font (size 1.27 1.27))))
+ (alternate "DAC_EXTI9" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_MCO" bidirectional line)
+ (alternate "TIM15_BKIN" bidirectional line)
+ (alternate "TIM1_CH2" bidirectional line)
+ (alternate "TSC_G4_IO1" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 17.78 180) (length 2.54)
+ (name "PA10" (effects (font (size 1.27 1.27))))
+ (number "43" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "TIM17_BKIN" bidirectional line)
+ (alternate "TIM1_CH3" bidirectional line)
+ (alternate "TSC_G4_IO2" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 15.24 180) (length 2.54)
+ (name "PA11" (effects (font (size 1.27 1.27))))
+ (number "44" (effects (font (size 1.27 1.27))))
+ (alternate "CAN_RX" bidirectional line)
+ (alternate "COMP1_OUT" bidirectional line)
+ (alternate "I2C2_SCL" bidirectional line)
+ (alternate "TIM1_CH4" bidirectional line)
+ (alternate "TSC_G4_IO3" bidirectional line)
+ (alternate "USART1_CTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 12.7 180) (length 2.54)
+ (name "PA12" (effects (font (size 1.27 1.27))))
+ (number "45" (effects (font (size 1.27 1.27))))
+ (alternate "CAN_TX" bidirectional line)
+ (alternate "COMP2_OUT" bidirectional line)
+ (alternate "I2C2_SDA" bidirectional line)
+ (alternate "TIM1_ETR" bidirectional line)
+ (alternate "TSC_G4_IO4" bidirectional line)
+ (alternate "USART1_DE" bidirectional line)
+ (alternate "USART1_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 10.16 180) (length 2.54)
+ (name "PA13" (effects (font (size 1.27 1.27))))
+ (number "46" (effects (font (size 1.27 1.27))))
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SYS_SWDIO" bidirectional line)
+ )
+ (pin passive line (at 0 -45.72 90) (length 2.54) hide
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "47" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 7.62 48.26 270) (length 2.54)
+ (name "VDDIO2" (effects (font (size 1.27 1.27))))
+ (number "48" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 17.78 7.62 180) (length 2.54)
+ (name "PA14" (effects (font (size 1.27 1.27))))
+ (number "49" (effects (font (size 1.27 1.27))))
+ (alternate "SYS_SWCLK" bidirectional line)
+ (alternate "USART2_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 38.1 0) (length 2.54)
+ (name "PF0" (effects (font (size 1.27 1.27))))
+ (number "5" (effects (font (size 1.27 1.27))))
+ (alternate "CRS_SYNC" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "RCC_OSC_IN" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 5.08 180) (length 2.54)
+ (name "PA15" (effects (font (size 1.27 1.27))))
+ (number "50" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_WS" bidirectional line)
+ (alternate "SPI1_NSS" bidirectional line)
+ (alternate "TIM2_CH1" bidirectional line)
+ (alternate "TIM2_ETR" bidirectional line)
+ (alternate "USART2_RX" bidirectional line)
+ (alternate "USART4_DE" bidirectional line)
+ (alternate "USART4_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 -2.54 0) (length 2.54)
+ (name "PC10" (effects (font (size 1.27 1.27))))
+ (number "51" (effects (font (size 1.27 1.27))))
+ (alternate "USART3_TX" bidirectional line)
+ (alternate "USART4_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 -5.08 0) (length 2.54)
+ (name "PC11" (effects (font (size 1.27 1.27))))
+ (number "52" (effects (font (size 1.27 1.27))))
+ (alternate "USART3_RX" bidirectional line)
+ (alternate "USART4_RX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 -7.62 0) (length 2.54)
+ (name "PC12" (effects (font (size 1.27 1.27))))
+ (number "53" (effects (font (size 1.27 1.27))))
+ (alternate "USART3_CK" bidirectional line)
+ (alternate "USART4_CK" bidirectional line)
+ (alternate "USART5_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 27.94 0) (length 2.54)
+ (name "PD2" (effects (font (size 1.27 1.27))))
+ (number "54" (effects (font (size 1.27 1.27))))
+ (alternate "TIM3_ETR" bidirectional line)
+ (alternate "USART3_DE" bidirectional line)
+ (alternate "USART3_RTS" bidirectional line)
+ (alternate "USART5_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -7.62 180) (length 2.54)
+ (name "PB3" (effects (font (size 1.27 1.27))))
+ (number "55" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_CK" bidirectional line)
+ (alternate "SPI1_SCK" bidirectional line)
+ (alternate "TIM2_CH2" bidirectional line)
+ (alternate "TSC_G5_IO1" bidirectional line)
+ (alternate "USART5_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -10.16 180) (length 2.54)
+ (name "PB4" (effects (font (size 1.27 1.27))))
+ (number "56" (effects (font (size 1.27 1.27))))
+ (alternate "I2S1_MCK" bidirectional line)
+ (alternate "SPI1_MISO" bidirectional line)
+ (alternate "TIM17_BKIN" bidirectional line)
+ (alternate "TIM3_CH1" bidirectional line)
+ (alternate "TSC_G5_IO2" bidirectional line)
+ (alternate "USART5_RX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -12.7 180) (length 2.54)
+ (name "PB5" (effects (font (size 1.27 1.27))))
+ (number "57" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SMBA" bidirectional line)
+ (alternate "I2S1_SD" bidirectional line)
+ (alternate "SPI1_MOSI" bidirectional line)
+ (alternate "SYS_WKUP6" bidirectional line)
+ (alternate "TIM16_BKIN" bidirectional line)
+ (alternate "TIM3_CH2" bidirectional line)
+ (alternate "USART5_CK" bidirectional line)
+ (alternate "USART5_DE" bidirectional line)
+ (alternate "USART5_RTS" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -15.24 180) (length 2.54)
+ (name "PB6" (effects (font (size 1.27 1.27))))
+ (number "58" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "TIM16_CH1N" bidirectional line)
+ (alternate "TSC_G5_IO3" bidirectional line)
+ (alternate "USART1_TX" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -17.78 180) (length 2.54)
+ (name "PB7" (effects (font (size 1.27 1.27))))
+ (number "59" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "TIM17_CH1N" bidirectional line)
+ (alternate "TSC_G5_IO4" bidirectional line)
+ (alternate "USART1_RX" bidirectional line)
+ (alternate "USART4_CTS" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 35.56 0) (length 2.54)
+ (name "PF1" (effects (font (size 1.27 1.27))))
+ (number "6" (effects (font (size 1.27 1.27))))
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "RCC_OSC_OUT" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 33.02 0) (length 2.54)
+ (name "PF11" (effects (font (size 1.27 1.27))))
+ (number "60" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at 17.78 -20.32 180) (length 2.54)
+ (name "PB8" (effects (font (size 1.27 1.27))))
+ (number "61" (effects (font (size 1.27 1.27))))
+ (alternate "CAN_RX" bidirectional line)
+ (alternate "CEC" bidirectional line)
+ (alternate "I2C1_SCL" bidirectional line)
+ (alternate "TIM16_CH1" bidirectional line)
+ (alternate "TSC_SYNC" bidirectional line)
+ )
+ (pin bidirectional line (at 17.78 -22.86 180) (length 2.54)
+ (name "PB9" (effects (font (size 1.27 1.27))))
+ (number "62" (effects (font (size 1.27 1.27))))
+ (alternate "CAN_TX" bidirectional line)
+ (alternate "DAC_EXTI9" bidirectional line)
+ (alternate "I2C1_SDA" bidirectional line)
+ (alternate "I2S2_WS" bidirectional line)
+ (alternate "IR_OUT" bidirectional line)
+ (alternate "SPI2_NSS" bidirectional line)
+ (alternate "TIM17_CH1" bidirectional line)
+ )
+ (pin passive line (at 0 -45.72 90) (length 2.54) hide
+ (name "VSS" (effects (font (size 1.27 1.27))))
+ (number "63" (effects (font (size 1.27 1.27))))
+ )
+ (pin power_in line (at 2.54 48.26 270) (length 2.54)
+ (name "VDD" (effects (font (size 1.27 1.27))))
+ (number "64" (effects (font (size 1.27 1.27))))
+ )
+ (pin input line (at -15.24 43.18 0) (length 2.54)
+ (name "NRST" (effects (font (size 1.27 1.27))))
+ (number "7" (effects (font (size 1.27 1.27))))
+ )
+ (pin bidirectional line (at -15.24 22.86 0) (length 2.54)
+ (name "PC0" (effects (font (size 1.27 1.27))))
+ (number "8" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN10" bidirectional line)
+ (alternate "USART6_TX" bidirectional line)
+ (alternate "USART7_TX" bidirectional line)
+ )
+ (pin bidirectional line (at -15.24 20.32 0) (length 2.54)
+ (name "PC1" (effects (font (size 1.27 1.27))))
+ (number "9" (effects (font (size 1.27 1.27))))
+ (alternate "ADC_IN11" bidirectional line)
+ (alternate "USART6_RX" bidirectional line)
+ (alternate "USART7_RX" bidirectional line)
+ )
+ )
+ )
+ )
+
+
+ (wire (pts (xy 128.27 50.8) (xy 130.81 50.8))
+ (stroke (width 0) (type default))
+ (uuid 45248ecd-ee4b-4ea2-af73-287f6387e023)
+ )
+ (wire (pts (xy 237.49 58.42) (xy 240.03 58.42))
+ (stroke (width 0) (type default))
+ (uuid 6aab3e3f-2152-43e4-9dc9-ebd992f2b620)
+ )
+ (wire (pts (xy 128.27 60.96) (xy 130.81 60.96))
+ (stroke (width 0) (type default))
+ (uuid 83173bcb-ab3f-4aa4-8764-97dec94555f6)
+ )
+ (wire (pts (xy 237.49 53.34) (xy 240.03 53.34))
+ (stroke (width 0) (type default))
+ (uuid 8fe19af1-4366-40c5-a172-d901753c5aba)
+ )
+
+ (global_label "GP_P2_LEFT" (shape input) (at 175.26 102.87 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 07ee05cd-2cfe-4e95-894a-1d90597b1926)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.1662 102.87 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "SPI_CLK" (shape output) (at 240.03 53.34 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left))
+ (uuid 0b707211-fac4-4b34-87bc-40aa2d3f360a)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 250.5558 53.34 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ )
+ (global_label "GP_P1_BUT_2" (shape input) (at 175.26 90.17 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 17cb1049-d8f8-4432-a5e3-536077b0511b)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.6543 90.17 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P1_RIGHT" (shape input) (at 175.26 82.55 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 32bda99c-ae4d-4b9c-8179-066f3a3468f6)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.9566 82.55 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P2_UP" (shape input) (at 175.26 107.95 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 53fd3333-ae47-4955-abf3-c321bc82554b)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 162.799 107.95 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P1_LEFT" (shape input) (at 175.26 80.01 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 5db46339-17ed-46d7-837a-c971db03a990)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.1662 80.01 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P1_UP" (shape input) (at 175.26 85.09 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 6088b30b-cded-4934-a067-a0d96ef62981)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 162.799 85.09 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P1_BUT_1" (shape input) (at 175.26 87.63 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 846511d3-01ef-46ec-9812-b1d57f483244)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.6543 87.63 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "SPI_MOSI" (shape input) (at 130.81 60.96 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left))
+ (uuid 8509abfe-1aad-475b-b8cf-5c88d9b7fba0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 142.3639 60.96 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ )
+ (global_label "GP_P2_DOWN" (shape input) (at 175.26 100.33 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid 8c703da6-5cbe-482c-8520-3b3d06e4dd10)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.0171 100.33 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P1_DOWN" (shape input) (at 175.26 77.47 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid aa8f6c1f-d1f2-46d8-b1cb-d151fe665499)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.0171 77.47 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "GP_P2_RIGHT" (shape input) (at 175.26 105.41 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid be612968-9ba8-45ae-9575-ee5e47f785c3)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.9566 105.41 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "SPI_CLK" (shape input) (at 130.81 50.8 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left))
+ (uuid cf66c093-1ca9-4057-8bbd-aeeb66852a40)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 141.3358 50.8 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ )
+ (global_label "GP_P2_BUT_2" (shape input) (at 175.26 113.03 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid f05f290c-daf7-4c98-906e-7b236d4b8653)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.6543 113.03 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+ (global_label "SPI_MOSI" (shape output) (at 240.03 58.42 0) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify left))
+ (uuid f775a504-9c3f-4558-9e0e-366fe34b0bc7)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 251.5839 58.42 0)
+ (effects (font (size 1.27 1.27)) (justify left) hide)
+ )
+ )
+ (global_label "GP_P2_BUT_1" (shape input) (at 175.26 110.49 180) (fields_autoplaced)
+ (effects (font (size 1.27 1.27)) (justify right))
+ (uuid f99f4681-dfb1-423f-9e0b-3294db075677)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.6543 110.49 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ )
+
+ (symbol (lib_id "MCU_ST_STM32F0:STM32F091RCTx") (at 219.71 83.82 0) (unit 1)
+ (in_bom yes) (on_board yes) (dnp no)
+ (uuid 0eccff7f-b8ed-40d2-bef6-3550c7c06b44)
+ (property "Reference" "U1" (at 224.2059 129.54 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Value" "STM32F091RCTx" (at 224.2059 132.08 0)
+ (effects (font (size 1.27 1.27)) (justify left))
+ )
+ (property "Footprint" "Package_QFP:LQFP-64_10x10mm_P0.5mm" (at 207.01 127 0)
+ (effects (font (size 1.27 1.27)) (justify right) hide)
+ )
+ (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32f091rc.pdf" (at 219.71 83.82 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (pin "1" (uuid fa0097f4-c658-485c-9248-0116ce786e7a))
+ (pin "10" (uuid 4a4d6c33-a01b-4d19-b21e-e0a7e74c299d))
+ (pin "11" (uuid f982c192-173c-4e8c-aa38-dc5926e0243e))
+ (pin "12" (uuid 6277e5ca-ae24-457f-bd79-bd5f56ed03e2))
+ (pin "13" (uuid 5062e642-5936-4c2d-8eaf-862844734123))
+ (pin "14" (uuid 47eeb4ee-e3fb-452e-99c0-6510fbb8eb10))
+ (pin "15" (uuid 3cf3869b-b50b-4602-8b43-5a78138e63aa))
+ (pin "16" (uuid 2148dd57-513c-4596-8ed3-db09c304672e))
+ (pin "17" (uuid 139d102e-42dc-4324-ab61-8f4c864bd524))
+ (pin "18" (uuid 11870aac-203f-42a3-a10d-74e7f9fae817))
+ (pin "19" (uuid 4d64b1e2-6af3-49d7-85e3-56c2f89d27d8))
+ (pin "2" (uuid 41126fd6-cbca-4cd0-8b50-4f3ae0dbf5fd))
+ (pin "20" (uuid 44897108-d61a-46e4-b598-4bfbc3122587))
+ (pin "21" (uuid f1a12c80-5602-49e7-b9fd-7651e64d5103))
+ (pin "22" (uuid fa00348b-f666-421a-b6f7-4cb246a4505f))
+ (pin "23" (uuid 594f2b1e-bfc3-4e8d-80ab-9f2bf83d341c))
+ (pin "24" (uuid 7d6283b6-3701-4c75-b961-f7577af7fee0))
+ (pin "25" (uuid 7bbfa2bd-a489-40d2-8290-f9f30817fa4d))
+ (pin "26" (uuid d67e3b25-bd18-4996-882b-91b7ba478bba))
+ (pin "27" (uuid ab64f78e-0439-4ebb-bc23-d216fd7f3694))
+ (pin "28" (uuid 5abc2a0e-4df0-4ae4-9472-8fd386830792))
+ (pin "29" (uuid 0d46373f-9b80-4160-bedf-79df8e309d34))
+ (pin "3" (uuid 48a48e73-896d-49f0-8ec2-1979aedd8061))
+ (pin "30" (uuid 33247740-20dc-4ead-a4f2-9bc8f0067a35))
+ (pin "31" (uuid 1ecbfeb4-cce1-40a2-8f57-65f3a52504ea))
+ (pin "32" (uuid 44d28163-1cc5-411a-897c-410901722a7b))
+ (pin "33" (uuid f6609f50-eea4-447e-9ba6-ade5d9cabe42))
+ (pin "34" (uuid 8b464bcf-f368-4e68-84c3-ea4c0a924d47))
+ (pin "35" (uuid ba0a395b-4d60-4e01-8963-dd02d3b4740a))
+ (pin "36" (uuid 435532ac-7110-4a96-8c4e-54afae8a38fc))
+ (pin "37" (uuid eb0612a9-4b32-4b79-8027-8c9d0bcc4c51))
+ (pin "38" (uuid d44e5e40-2d64-4cdf-8615-724b73cbfbba))
+ (pin "39" (uuid adaea3ad-542c-4379-91bc-ad15676ba885))
+ (pin "4" (uuid 05c7f272-f0b9-4635-88ba-33b77a70a28c))
+ (pin "40" (uuid e3c53a59-bdfa-48db-8c1b-43aaa08441ac))
+ (pin "41" (uuid 3ac8f941-e30f-4cf2-8784-a7400bd7fcfb))
+ (pin "42" (uuid d4aca600-a791-4d1d-a754-cb9fa3e653f6))
+ (pin "43" (uuid ef3a5bc3-8b3e-4054-a4d8-e83af2841ffa))
+ (pin "44" (uuid 8c4ccbe2-12d7-4e03-9959-1f234f0b7a43))
+ (pin "45" (uuid 168c46f5-ac91-4ea6-b010-e60aea126d2e))
+ (pin "46" (uuid 298abf97-af8f-4fe4-b8c7-a536dfaeb767))
+ (pin "47" (uuid 302d2009-e11f-4aca-b8a4-f1f801da5936))
+ (pin "48" (uuid 0db66cfc-f3ff-49bc-aa1e-fb8708d0b447))
+ (pin "49" (uuid 9d5a8c35-ba32-487d-96b5-30a3015dbe17))
+ (pin "5" (uuid 3c1a0ad5-7688-4d5c-9017-1e33815222c1))
+ (pin "50" (uuid e3e0f208-4b85-448d-82fa-6cfd86bf32c6))
+ (pin "51" (uuid b52ee081-7229-44bc-920f-9b98da1c71e8))
+ (pin "52" (uuid f6b653e1-605d-47ff-941c-c42800163b4b))
+ (pin "53" (uuid 77e46e39-e27f-489f-913f-1222cb1754a9))
+ (pin "54" (uuid adad2c82-ce1d-40d1-83b0-fbdbec5a3210))
+ (pin "55" (uuid 0de99495-785d-496b-b99c-8fcff413e00d))
+ (pin "56" (uuid f0ce53fa-15a2-43ee-ad91-398eddc25c55))
+ (pin "57" (uuid 8ed9d357-63d6-409a-8d05-997a2853be58))
+ (pin "58" (uuid 9917b0af-78ac-4c47-a2bb-131a2b985993))
+ (pin "59" (uuid 1972f9f7-7b50-4ba0-a870-35a4a933cf0c))
+ (pin "6" (uuid 6bf7f5aa-46b3-4256-b160-d1d1f314f6ed))
+ (pin "60" (uuid c4c4f768-e559-4159-95b2-e46b124e67fc))
+ (pin "61" (uuid 156eae32-467b-4d28-a832-1731dec8ac33))
+ (pin "62" (uuid 80b507b1-d35b-438f-b4a6-7f5609ea5ef1))
+ (pin "63" (uuid 08565d11-8348-4f53-aed5-4283b454e454))
+ (pin "64" (uuid 8a715651-ce06-4233-949c-61676cca25f5))
+ (pin "7" (uuid 59151b96-4dca-4765-b86c-3f2dc043e737))
+ (pin "8" (uuid e1ca4371-a6ce-4504-b09d-7bd7e06351b1))
+ (pin "9" (uuid 2ac16a37-e256-4d20-b085-505467fbb282))
+ (instances
+ (project "hardware"
+ (path "/9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8"
+ (reference "U1") (unit 1)
+ )
+ )
+ )
+ )
+
+ (symbol (lib_id "FPGA_Xilinx_Artix7:XC7A35T-CPG236") (at 77.47 101.6 0) (unit 1)
+ (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
+ (uuid be9b1cde-5098-4ba0-9cde-b4c8702d743e)
+ (property "Reference" "U2" (at 77.47 177.8 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Value" "XC7A35T-CPG236" (at 77.47 180.34 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (property "Footprint" "" (at 77.47 101.6 0)
+ (effects (font (size 1.27 1.27)) hide)
+ )
+ (property "Datasheet" "" (at 77.47 101.6 0)
+ (effects (font (size 1.27 1.27)))
+ )
+ (pin "A14" (uuid 508d4c60-c3d8-4638-817b-7124b8138268))
+ (pin "A15" (uuid 27afc36d-9a85-49b6-abe6-3ffc58bf129b))
+ (pin "A16" (uuid e7dffcaa-7266-4cb7-9bc0-ff07241110ab))
+ (pin "A17" (uuid f22efb78-fbc2-40cb-9afc-92435ef5a3b7))
+ (pin "A18" (uuid d77ea860-aa21-482f-91ed-4435565f771f))
+ (pin "B15" (uuid 7fe5f8f7-8843-470c-97af-e2ecad63514b))
+ (pin "B16" (uuid cf200bca-7550-416b-a961-aff4101f9920))
+ (pin "B17" (uuid 2f0061a9-5045-4d9b-9de1-56a2a001ef62))
+ (pin "B18" (uuid 9753e917-688e-4292-b73c-4f9eac4609ac))
+ (pin "B19" (uuid 235b75f7-af17-4ee5-9955-606ddaf59ee6))
+ (pin "C14" (uuid 8222e9b2-bdfa-4c12-83a4-b20cec33c7ab))
+ (pin "C15" (uuid 07eaf0e5-230e-4f8c-8124-f927874a984e))
+ (pin "C16" (uuid 963c2ba7-3c1f-4804-97ca-597525f81547))
+ (pin "C17" (uuid ad6bdf66-f65c-4287-9d0d-32cbf8f988e3))
+ (pin "C18" (uuid 02511511-2668-47c2-8cdd-743e3b0d67db))
+ (pin "D17" (uuid 444e1a94-d308-4c48-843c-59765edd086c))
+ (pin "D18" (uuid 1c780fd0-2e78-4359-a640-9e9fd63e1fe7))
+ (pin "D19" (uuid 373a2e2a-895c-4dbe-bec9-572bdd4d2d50))
+ (pin "E18" (uuid 07f57f6f-7806-4490-9cf0-7b0827f3aca5))
+ (pin "E19" (uuid a90d729e-ba01-4610-b63b-d3580a8776cb))
+ (pin "F17" (uuid 15c89453-024e-4f4a-ab24-188a86a27b8d))
+ (pin "F18" (uuid ec121bec-7f54-4871-88c0-35fa91373867))
+ (pin "G13" (uuid 40886b30-ceb7-4d3b-9f39-455ae942c74a))
+ (pin "G17" (uuid 66778ba6-fdf0-422d-a7a2-515654fb7151))
+ (pin "G18" (uuid e05ca092-07a5-4acc-85b2-716e99636ea5))
+ (pin "G19" (uuid 37cc4893-f289-4c19-b6a3-cc7b79315228))
+ (pin "H17" (uuid 7cb925e4-67e7-41e8-8860-3856c52ec347))
+ (pin "H19" (uuid 79d7cba0-9c21-4768-827f-6410e2201c4c))
+ (pin "J17" (uuid 11ffa21a-e693-4e92-a3d7-da840fcfd343))
+ (pin "J18" (uuid 8edc2c1d-3be3-4924-984d-5bc871137a01))
+ (pin "J19" (uuid ffc1bef5-6500-4a05-a8a8-319e161fe0ff))
+ (pin "K12" (uuid 82894033-0c3a-4f2d-b29a-1844ba8d275c))
+ (pin "K13" (uuid e279a789-e678-45fe-9c4f-58dcab52564c))
+ (pin "K17" (uuid 3d65b223-d4f2-4980-a351-66b2c743e71a))
+ (pin "K18" (uuid 87352920-88b9-49ab-8895-ae1f5d1f53b6))
+ (pin "K19" (uuid 8a131724-9727-4430-b063-d89ea6f2f2ca))
+ (pin "L12" (uuid 3f009cb0-3d5d-4ad5-9836-c31617e689ff))
+ (pin "L13" (uuid d0b4b2a5-61aa-40bf-a8d8-ab74b74afb32))
+ (pin "L17" (uuid c8aaa6c3-82e6-40e6-ad99-d4346acb18de))
+ (pin "L18" (uuid ac2df9a6-ab83-442b-8d68-9469077b5ed8))
+ (pin "M12" (uuid 9b4e25c2-e66b-485f-8708-d58b3aaa8a03))
+ (pin "M17" (uuid 8e47b54e-06bd-4b19-ae0b-f7c91e4e52c5))
+ (pin "M18" (uuid 27b396d4-89b1-4b27-ab9c-25c862da58d2))
+ (pin "M19" (uuid be0dd454-6779-49a5-8669-f401ff86917e))
+ (pin "N17" (uuid 33e3f617-dfb7-42b9-b5ad-fc34a2d33e08))
+ (pin "N18" (uuid 8afa2c82-930f-4cd3-bf85-962b4bb60313))
+ (pin "N19" (uuid 7b3c9b83-7c18-4a20-9f60-3a0a17cc5f71))
+ (pin "P17" (uuid c4201d75-0651-408f-a1a2-082f3e60172e))
+ (pin "P18" (uuid 94eac6d0-b033-49c2-9d18-e42c04c0ffb6))
+ (pin "P19" (uuid 3518e2a5-5135-48ef-a6de-7b9ef03f8c5d))
+ (pin "R17" (uuid 0873e0a5-cc27-4c17-8cd6-3424627c5876))
+ (pin "R18" (uuid a9faa32c-1210-4023-a666-7f98d7124f2f))
+ (pin "R19" (uuid 8d45c82a-2d50-467c-86ef-805c4e63908d))
+ (pin "T17" (uuid e483361b-4814-4af4-a988-0a4f316f6a94))
+ (pin "T18" (uuid af6851d1-3433-4257-b7f8-bc5bb2d9aa2e))
+ (pin "U13" (uuid 85faccae-dae2-4598-97ca-86dbb5f8c8e0))
+ (pin "U14" (uuid c749aceb-beac-4110-a3d2-9b9aa16fa8ae))
+ (pin "U15" (uuid d1acfa4e-a89d-44f0-85e2-1c79bd2e5dd8))
+ (pin "U16" (uuid 531cf274-3440-4fb7-a106-f6ac2b593888))
+ (pin "U17" (uuid ea51fa45-8c6e-4627-a55e-09855a8fe52b))
+ (pin "U18" (uuid 6794a3d6-cbc3-49a2-8199-365d8a1976c9))
+ (pin "U19" (uuid fc7549a6-963c-4f4c-9d36-374a5e78c027))
+ (pin "V13" (uuid fdf41aaa-3a4c-4436-b8e0-74b21a71b7cd))
+ (pin "V14" (uuid b0da0a86-ce74-4258-917f-cc478cb2bc8f))
+ (pin "V15" (uuid 7bc24b6a-965e-4141-938a-81b19b21e599))
+ (pin "V16" (uuid 65ff3ef5-5706-44be-bb14-3d245685b4e0))
+ (pin "V17" (uuid 4cdf6e1e-ab9d-46b8-beab-6b08df956d10))
+ (pin "V19" (uuid 8539a62a-1392-4b48-995e-c56baddba2ea))
+ (pin "W13" (uuid 3a6d4d02-b2fc-425d-a215-81917784b9ad))
+ (pin "W14" (uuid 50defb3c-0f5d-4d04-a00c-67cfe0db6d6b))
+ (pin "W15" (uuid 3efbe8c0-63d3-4cb3-88dc-38798062ba7f))
+ (pin "W16" (uuid fc698360-01ac-4c67-8687-95f126dc5bdb))
+ (pin "W17" (uuid 531accc5-111d-441a-8a4d-36b73545d741))
+ (pin "W18" (uuid ae029038-79dd-4592-943e-531c426c656b))
+ (pin "W19" (uuid b2798ba7-f950-4bb6-a3dd-ef2fc4ef53f8))
+ (pin "G2" (uuid fc4610bd-cc0d-487a-b15c-7ecab27970d9))
+ (pin "G3" (uuid 6848bca2-cb99-4007-a4de-dea28ceb0fa7))
+ (pin "H1" (uuid 4643b49c-463c-4c23-abf9-648cb0c80b2c))
+ (pin "H2" (uuid 55ff1d65-6588-4689-b501-53ec656a71c4))
+ (pin "H3" (uuid e5035286-023d-4f8b-b33d-89cdeabe32b2))
+ (pin "J1" (uuid b3cf2eac-2afc-4ddc-a0ff-d7137d32823c))
+ (pin "J2" (uuid f9e9a687-0249-42d5-be51-e6bbedd3e8cb))
+ (pin "J3" (uuid caae61b9-e99a-4ef2-9747-89ada29af704))
+ (pin "J7" (uuid a988b64c-0966-44e7-b1ab-437217837366))
+ (pin "K1" (uuid 3a1259fa-3aa8-43dd-a007-4b891f0e96ad))
+ (pin "K2" (uuid 4bbec1f5-02bb-4ed3-b1a1-22772e3f516a))
+ (pin "K3" (uuid 4479713f-0cab-4be7-b6f4-4473431cf24e))
+ (pin "K7" (uuid fcdb389c-0ef4-4083-b93e-1e0f100f6397))
+ (pin "L1" (uuid f189d746-6cdb-49a3-825d-365ecc79fad4))
+ (pin "L2" (uuid 4aeea86d-483d-4dd7-a5fe-5d84d8d8e570))
+ (pin "L3" (uuid 84a0585b-59c7-4f29-9055-48e85102d2c5))
+ (pin "L7" (uuid 98e6d842-9e3e-4607-aacc-15339af61dd2))
+ (pin "M1" (uuid 58cd7475-017a-4308-a77b-6c154064b101))
+ (pin "M2" (uuid 7c4a535d-e184-4df0-a02c-f44482bb89e3))
+ (pin "M3" (uuid 7806a97b-0a80-4a46-828f-d6753135bb22))
+ (pin "M7" (uuid 9b4a802a-a3e7-4e25-8574-18cf9e2d2050))
+ (pin "M8" (uuid c8fc7cdd-4e79-41d5-8fe5-4292ec87f91b))
+ (pin "N1" (uuid d0a3173d-694f-4cb1-818d-3ebe04a30974))
+ (pin "N2" (uuid c419aaa3-6e01-4bb7-b3f2-809eb527eeee))
+ (pin "N3" (uuid de2ac41e-0f9b-4651-9cd6-602af13134f2))
+ (pin "N7" (uuid 09f48094-e99d-427b-b29d-6471acc00e18))
+ (pin "N8" (uuid f86e7fdf-2659-411a-b5d6-0b52515b6e65))
+ (pin "P1" (uuid 2b40127d-5857-43d0-aa1c-4e1750ce063f))
+ (pin "P3" (uuid 4566fdb5-3af3-41c8-a5d2-fec526da105e))
+ (pin "R1" (uuid 9da55c7b-8bf0-4b08-a4e9-4dce2d5a22ad))
+ (pin "R2" (uuid 47ddd496-c648-4105-9148-16b6843ff6a2))
+ (pin "R3" (uuid 2755bac4-24a3-446c-a88b-a3d9e2a2e762))
+ (pin "T1" (uuid d9b85305-e500-4dc6-a593-38b5b4ed30a9))
+ (pin "T2" (uuid 584a6e12-434d-4813-bdcb-a8e643d6ffad))
+ (pin "T3" (uuid 1710395a-eb93-43de-b262-3d4b79b6cb91))
+ (pin "U1" (uuid e179598e-8a0f-4e73-b968-94f2402bfae0))
+ (pin "U2" (uuid c68fe62c-c06b-4bc9-a56b-735188c345a7))
+ (pin "U3" (uuid a177d889-e6a8-4508-b8a0-bd07454a8a01))
+ (pin "U4" (uuid 7eab4dae-9058-4c85-baba-2a8d35f4d3e3))
+ (pin "U5" (uuid 43c7489b-bd92-48f9-b362-a5961fb81a50))
+ (pin "U7" (uuid e20d1589-b3d5-4f4e-b08e-9c3ba3c94dc2))
+ (pin "U8" (uuid 0eb93802-e4d6-4bd8-83b8-e047ce57328e))
+ (pin "V1" (uuid fcf631e4-7ef6-498d-8cc9-9cf3e1f7e96d))
+ (pin "V2" (uuid 5072eb9f-3f60-4040-88bc-4604f65cc781))
+ (pin "V3" (uuid aa85040f-88f7-4aef-9029-4afc6e6f032c))
+ (pin "V4" (uuid c4553595-f527-445d-b2dc-8fd2218aa926))
+ (pin "V5" (uuid e78513cf-d6c3-484e-97b6-2d989b5d1af8))
+ (pin "V6" (uuid c44e2735-cb99-4b80-bf89-15832fc4958e))
+ (pin "V7" (uuid 93c5982e-b123-40ff-9d98-c35b00ce52c7))
+ (pin "V8" (uuid 749bbc75-9dda-43b0-a6c4-292c74682c69))
+ (pin "W2" (uuid e85af978-bd09-4306-9392-eb834f03b11c))
+ (pin "W3" (uuid e5f6f666-20b7-478f-9783-a1d85e353bfb))
+ (pin "W4" (uuid 7b0692a5-e190-40b0-9b6b-ab8314f540da))
+ (pin "W5" (uuid 1d79fe4f-cde2-4438-b497-e88a7efd2e8e))
+ (pin "W6" (uuid fef5be97-9c76-42ff-b300-0a78803f67e4))
+ (pin "W7" (uuid 943e232e-df3f-4b23-94f1-1f52c7051eb5))
+ (pin "A10" (uuid e0191025-17e2-46ea-9da7-7fe91797c87d))
+ (pin "A2" (uuid da854dff-24da-4866-b0c2-6dac15fba2d2))
+ (pin "A4" (uuid 4d0482de-2766-42b5-91b0-c4c21d4ea3e0))
+ (pin "A6" (uuid 89fb9859-d7d6-42ab-8102-ab507aee87f4))
+ (pin "A8" (uuid 1bb8aa97-1d9e-426a-ac08-24504c7841e5))
+ (pin "B10" (uuid fcb6190b-8af2-4745-8b0a-6cc6db59099f))
+ (pin "B2" (uuid d1a1e4b5-56ba-4697-a445-a8137f3f2ca0))
+ (pin "B4" (uuid bed8736a-2cd7-4120-a643-61dfb8b88ec4))
+ (pin "B6" (uuid 40d58f4b-ae2b-4c77-9722-f68ebcccb410))
+ (pin "B8" (uuid 8d777ddc-c2e2-40fc-9f31-775b926e1a47))
+ (pin "D1" (uuid c0dd1b3a-944a-4f63-a3cf-8474b54e2857))
+ (pin "D2" (uuid faa26f62-1d18-4f94-9ca5-e9aca493e96d))
+ (pin "B1" (uuid 435cf405-9cea-4538-a15f-9808d0d04a28))
+ (pin "C1" (uuid b7dfd033-ff14-43a0-b7f7-62ffc8c2dac8))
+ (pin "C5" (uuid d91b802c-b50d-4a71-8dcf-9ed737dd4c92))
+ (pin "C7" (uuid b7822153-4756-484f-ab48-abb1d4c2683b))
+ (pin "E1" (uuid a5ec74d5-7732-445c-8df7-5e6611af4170))
+ (pin "E2" (uuid 0e7d714d-0bf9-47aa-bede-ed43f29c0e86))
+ (pin "F3" (uuid aa76e46d-4212-469d-a8c4-c822179037fe))
+ (pin "G7" (uuid 8e9ccba9-dbd9-4fc9-9702-bace6e8c76ef))
+ (pin "G9" (uuid cde57fdd-262b-4efb-9d4a-13a26ed77fdb))
+ (pin "H9" (uuid 16db1592-07ad-4f6d-9987-da9d89ada5e1))
+ (pin "A11" (uuid a6611366-ba24-4f65-b7c9-6be4984e8e3d))
+ (pin "A12" (uuid d06856cb-a166-49aa-bd99-30a763137d3d))
+ (pin "A13" (uuid 7c4c1827-3648-45af-b061-f0d2e8239ad3))
+ (pin "B11" (uuid 5decf0d7-7f7e-45b9-8060-c33467339436))
+ (pin "B12" (uuid 2c50dd38-85c3-478b-a5c6-10a56aa6a212))
+ (pin "B13" (uuid 930615f2-fa73-4de0-8f17-d4c13ce6d640))
+ (pin "C11" (uuid 3d35233a-565f-4036-8e28-10a3a3549cc6))
+ (pin "C8" (uuid ecd3eb4f-ad4d-4b2c-b171-594224f2ba95))
+ (pin "G12" (uuid a36888c8-117f-428f-babf-8e2beab5df80))
+ (pin "U10" (uuid 1d27284b-7d14-446a-9df4-ff964b37c2bb))
+ (pin "U11" (uuid 917796ca-aa6d-4d07-abb0-d1de921ba6c1))
+ (pin "U12" (uuid 98885d37-1e79-4c0c-b83c-5f9352efb9bd))
+ (pin "V10" (uuid 97b08718-5fd4-4205-8587-1f85d7984b55))
+ (pin "V11" (uuid 69dce61a-438b-4210-869c-28cbfb7bc5d3))
+ (pin "V12" (uuid 153194a6-829c-474f-815a-bc346e4ffe50))
+ (pin "V9" (uuid 0e59551c-a608-4825-9a6f-cd26ae3ff40a))
+ (pin "W10" (uuid 84265488-b124-4d6d-a44e-3a8101871927))
+ (pin "W11" (uuid bcd5e037-571f-4bf9-baf9-0d14fb76089c))
+ (pin "W8" (uuid b4572b6d-ae6e-42e3-bfd4-233b5db0d570))
+ (pin "W9" (uuid 7cae7a4e-afc5-43db-83f1-c9ead4550d31))
+ (pin "A1" (uuid b41eed3d-463c-4370-b9be-434cf0e697fc))
+ (pin "A19" (uuid 86f8e217-70eb-4e89-9052-935c4f84daf3))
+ (pin "A3" (uuid f4c31c92-43a0-4afb-acb8-267b23dfc02c))
+ (pin "A5" (uuid 89b2b132-0dcb-40ca-8af1-22870e88ca53))
+ (pin "A7" (uuid 4b34be32-3ef6-4197-bc85-6291d0b85d34))
+ (pin "A9" (uuid 3d625d3e-2a8b-43e2-a401-722f1dc7c96b))
+ (pin "B14" (uuid 3e756b80-9128-499a-8942-1f4a8935450b))
+ (pin "B3" (uuid 9d71780e-bef0-4a6c-9a02-98f56bf00b6d))
+ (pin "B5" (uuid a4c7cede-3dfd-4cf5-80ef-01b3b7624939))
+ (pin "B7" (uuid 395fc260-c310-41f2-a61c-58fe4d9ee6ac))
+ (pin "B9" (uuid 465c0e54-c93a-4a4f-a740-142efad5c27c))
+ (pin "C10" (uuid 1242e125-61cb-4ced-a671-7f05f087e413))
+ (pin "C12" (uuid f6f32458-ee84-49e8-b081-4afda0a2e7a3))
+ (pin "C13" (uuid 592fe309-8382-4188-9719-e6d8a0da70b3))
+ (pin "C19" (uuid 01399ae8-a832-4329-8511-54b7c137a67c))
+ (pin "C2" (uuid daf38a91-074f-462d-b9cf-0e2b0721bdb3))
+ (pin "C3" (uuid b1fe1fd6-5450-4fb8-adf7-9b492a3a220c))
+ (pin "C4" (uuid 562a35a5-2738-4c30-b72a-bd02988de7e6))
+ (pin "C6" (uuid ae6d9ce2-8126-46cd-b869-9d2ca96575fc))
+ (pin "C9" (uuid dd43e27b-4ef0-4d76-ac26-0d0d31bc57af))
+ (pin "D3" (uuid 97110486-6366-4e68-a2ed-fc41dbdc8dd4))
+ (pin "E17" (uuid 655602ea-f5c0-492b-8852-2b0915a4dcd7))
+ (pin "E3" (uuid 62e4ed8d-e47b-4bbb-9ed9-37c8749b5cc8))
+ (pin "F1" (uuid 77ebedf9-4552-4dfd-84a8-e7053613f010))
+ (pin "F19" (uuid aa650ac3-1117-4d35-ab01-6947a995b815))
+ (pin "F2" (uuid eea2d071-a0c2-4b28-abd1-9c573fb56f0f))
+ (pin "G1" (uuid 406b3c2d-e0c2-4dd1-860e-d7fa6a09ce5a))
+ (pin "G10" (uuid 03e8c706-0d39-4e10-a5f9-c40abab6e4a2))
+ (pin "G11" (uuid f45786be-bffc-475d-9d1e-9bdee36f7585))
+ (pin "G8" (uuid d5eda1c3-6df9-4fda-bef2-cc99e1975a2b))
+ (pin "H10" (uuid dd76e4da-054a-454b-98df-0aff89b48c2d))
+ (pin "H11" (uuid feef6d1a-efa9-4ddd-a62d-e890b00d1128))
+ (pin "H12" (uuid 39fb399c-02ba-4986-bd7b-310eeb500230))
+ (pin "H13" (uuid 71129c4a-c4f0-488e-adce-36b33e0a0a11))
+ (pin "H18" (uuid cfebd0c6-e7bd-4900-a769-5a4cb669cb90))
+ (pin "H7" (uuid 1766a265-2c35-4849-9fea-ef8ee431a9c7))
+ (pin "H8" (uuid d0cf94e6-73b1-4590-8d79-c5d1acfecdd0))
+ (pin "J10" (uuid cc147f0d-2f5d-4c2e-ac22-6f39a3abd207))
+ (pin "J11" (uuid 5b888eef-67d3-44c0-81b0-df61193ed72c))
+ (pin "J12" (uuid fca45bff-6554-4b3d-86cb-112da734e178))
+ (pin "J13" (uuid 06bded97-7212-4f84-8b76-57e73128753d))
+ (pin "J8" (uuid a33f53e7-f6bc-4440-bdcc-b5e94f1f4d80))
+ (pin "J9" (uuid 1f084a10-0f00-4c12-af8b-fb88bc82bbe0))
+ (pin "K8" (uuid f9ca2753-0a61-481c-9f14-c0c406439cd2))
+ (pin "L10" (uuid 2173ddcd-9e11-410e-91a8-33bb26186b45))
+ (pin "L11" (uuid 51045df6-203e-4806-badd-560554f24c8f))
+ (pin "L19" (uuid 232fd990-631c-445f-bdc7-fbed489921a1))
+ (pin "L8" (uuid 3acc3bee-534f-455e-83d8-242296ca3299))
+ (pin "L9" (uuid a83aa0a3-2ad7-4eb1-a4e9-952e46fd6fc9))
+ (pin "M10" (uuid 3fc595b5-d006-41b4-be08-64f08ba334a7))
+ (pin "M11" (uuid 0b847dcd-60c3-450a-a9a2-a2a486c0889a))
+ (pin "M13" (uuid 476dfaec-a047-4bb4-98d2-14eab41f4697))
+ (pin "M9" (uuid c968c349-0142-4748-8596-2e9dd5231b0f))
+ (pin "N10" (uuid efc422f6-fcdb-4081-9b18-8890c5f69bd8))
+ (pin "N11" (uuid efe693c7-2797-4aae-a54a-b41c9f1dc733))
+ (pin "N12" (uuid 6b38761f-660c-4e0b-8db3-31c1b5ba4886))
+ (pin "N13" (uuid 5257aeb7-e901-425b-841a-5ca6caa1b430))
+ (pin "N9" (uuid 09ed3118-898e-4767-b14a-323cf1bd4c61))
+ (pin "P2" (uuid eb556876-5e74-477c-a234-961fdee63b80))
+ (pin "T19" (uuid 78e4f078-c50d-4247-b3c6-395f96753c50))
+ (pin "U6" (uuid a4ca2684-c081-4ec3-89d2-94593ee94c99))
+ (pin "U9" (uuid a7b15dc9-ee2d-4926-8d91-fc82a85ae869))
+ (pin "V18" (uuid af9811a7-ba98-4884-90b2-31fea8c76766))
+ (pin "W1" (uuid e358d629-fe18-4c15-9260-93f122fe82e5))
+ (pin "W12" (uuid 6523c6ec-7bab-477c-86f6-9220d4242ac0))
+ (instances
+ (project "hardware"
+ (path "/9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8"
+ (reference "U2") (unit 1)
+ )
+ )
+ )
+ )
+
+ (sheet_instances
+ (path "/" (page "1"))
+ )
+)
diff --git a/pinout.md b/pinout.md
deleted file mode 100644
index f4569d2..0000000
--- a/pinout.md
+++ /dev/null
@@ -1,33 +0,0 @@
-Pin layout
-
-| pin STM | function |
-|---------|----------|
-| PA5 / D13 | SPI clock |
-| PA7 / D11 | SPI MOSI |
-| PA9 / D8 | SPI cs |
-| PB4 / D5 | button 1 |
-| PB5 / D4 | button 2 |
-| PB6 / D10 | button 3 |
-| PB8 / D15 | button 4 |
-
-| pin FPGA | function |
-|---------|----------|
-| JB 7 | SPI clock |
-| JB 8 | SPI data |
-| JB 9 | SPI cs |
-
-
-
-constraints:
-
-set_property PACKAGE_PIN A15 [get_ports clkSPI]
-
-set_property PACKAGE_PIN C15 [get_ports csSPI]
-
-set_property PACKAGE_PIN A17 [get_ports dataSPI]
-
-set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
-
-set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
-
-set_property IOSTANDARD LVCMOS33 [get_ports clkSPI] .
diff --git a/src/.vscode/c_cpp_properties.json b/src/.vscode/c_cpp_properties.json
deleted file mode 100644
index 359928d..0000000
--- a/src/.vscode/c_cpp_properties.json
+++ /dev/null
@@ -1,16 +0,0 @@
-{
- "configurations": [
- {
- "name": "Linux",
- "includePath": [
- "${workspaceFolder}/**"
- ],
- "defines": [],
- "compilerPath": "/usr/bin/gcc",
- "cStandard": "c17",
- "cppStandard": "c++14",
- "intelliSenseMode": "linux-gcc-x64"
- }
- ],
- "version": 4
-} \ No newline at end of file
diff --git a/src/.vscode/settings.json b/src/.vscode/settings.json
deleted file mode 100644
index d485e2c..0000000
--- a/src/.vscode/settings.json
+++ /dev/null
@@ -1,6 +0,0 @@
-{
- "files.associations": {
- "hh_entity.h": "c",
- "maths.h": "c"
- }
-} \ No newline at end of file
diff --git a/test/bin/test_file_read.c b/test/bin/test_file_read.c
index 503601b..391e2c6 100644
--- a/test/bin/test_file_read.c
+++ b/test/bin/test_file_read.c
@@ -17,6 +17,7 @@ void printData(uint8_t* in) {
void hh_ppu_load_tilemap() {
+
char* filename = "tiles.bin";
FILE* fp = fopen(filename,"rb");
if (!fp){
@@ -29,10 +30,7 @@ void hh_ppu_load_tilemap() {
// printf("%i",_size);
for (int i = 0; i < _size; i++) {
uint8_t data[HH_PPU_VRAM_TMM_SPRITE_SIZE];
- // for (int i = 0; i < 255; i++) {
- // buffer[i] = 0; //TODO: vullen
- fread(data,HH_PPU_VRAM_TMM_SPRITE_SIZE,1,fp);
- // }
+ fread(data,HH_PPU_VRAM_TMM_SPRITE_SIZE,1,fp);
printData(data);
}
diff --git a/test/bin/tiles.bs b/test/bin/tiles.bs
deleted file mode 100644
index 4452c2a..0000000
--- a/test/bin/tiles.bs
+++ /dev/null
@@ -1,544 +0,0 @@
-0000: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0010: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0020: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0030: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0040: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0050: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0060: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0070: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0080: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0090: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00a0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00b0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00c0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00d0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00e0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-00f0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-0100: 29 29 29 29 29 28 29 29 29 29 29 28 29 29 29 29
-0110: 29 28 28 28 28 26 28 28 28 28 28 26 28 28 28 28
-0120: 29 28 27 27 27 26 28 28 28 28 28 26 28 28 28 28
-0130: 29 27 27 27 27 25 25 25 25 25 25 25 25 25 25 25
-0140: 29 27 27 27 27 25 25 26 26 26 26 26 26 25 26 26
-0150: 28 27 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0160: 28 27 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0170: 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25 25
-0180: 29 29 27 27 27 27 27 25 25 25 26 26 26 26 25 25
-0190: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-01a0: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26 25
-01b0: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-01c0: 01 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26
-01d0: 01 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26
-01e0: 01 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26
-01f0: 29 29 29 28 28 28 25 25 25 25 25 25 25 25 25 25
-0200: 29 29 29 28 29 29 29 29 29 29 29 28 29 29 29 29
-0210: 28 28 28 26 28 28 28 28 28 28 28 26 28 28 28 28
-0220: 28 28 28 26 28 28 28 28 28 28 28 26 28 28 28 28
-0230: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0240: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0250: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0260: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-0270: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0280: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-0290: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-02a0: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-02b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-02c0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-02d0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-02e0: 25 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-02f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0300: 29 29 29 28 29 29 29 29 29 29 28 29 29 29 29 29
-0310: 28 28 28 26 28 28 28 28 28 28 26 28 28 28 28 29
-0320: 28 28 28 26 28 28 28 28 28 28 26 27 27 28 28 29
-0330: 25 25 25 25 25 25 25 25 25 25 25 27 27 27 27 29
-0340: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 29
-0350: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 28
-0360: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 28
-0370: 25 25 25 25 25 25 25 25 25 25 25 26 26 26 26 28
-0380: 26 26 26 26 26 26 26 25 27 27 27 27 27 28 28 01
-0390: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-03a0: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-03b0: 25 25 25 25 25 25 25 25 25 25 25 28 28 29 29 29
-03c0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 29
-03d0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 29
-03e0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 28
-03f0: 25 25 25 25 25 25 25 25 25 25 25 25 26 26 26 28
-0400: 29 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0410: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0420: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 25 25
-0430: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-0440: 01 28 27 27 27 26 25 26 26 26 26 26 26 25 26 26
-0450: 01 28 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0460: 01 27 27 27 27 25 26 26 26 26 26 25 25 25 25 26
-0470: 29 29 28 28 25 25 25 25 25 25 25 25 25 25 25 25
-0480: 29 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0490: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-04a0: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26 25
-04b0: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-04c0: 01 28 28 27 27 27 27 26 25 26 26 26 26 26 26 26
-04d0: 01 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26
-04e0: 01 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26
-04f0: 01 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25
-0500: 26 26 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-0510: 26 26 26 25 26 26 26 26 26 26 26 25 26 26 26 26
-0520: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-0530: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0540: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-0550: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0560: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-0570: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0580: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-0590: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-05a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-05b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-05c0: 25 25 25 26 26 26 26 26 26 25 26 26 26 26 26 26
-05d0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-05e0: 26 25 26 26 26 26 26 26 25 25 25 26 26 26 26 26
-05f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0600: 26 26 26 25 26 26 26 26 26 26 26 25 27 27 28 01
-0610: 26 26 26 25 26 26 26 26 26 26 26 25 27 27 28 01
-0620: 26 26 25 25 26 26 26 26 26 26 26 25 27 27 27 01
-0630: 25 25 25 25 25 25 25 25 25 25 25 25 25 29 29 29
-0640: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 29
-0650: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 28
-0660: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 28
-0670: 25 25 25 25 25 25 25 25 25 25 25 26 26 26 26 28
-0680: 26 26 26 26 26 26 26 25 27 27 27 27 27 28 28 01
-0690: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-06a0: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-06b0: 25 25 25 25 25 25 25 25 25 25 25 26 26 29 29 29
-06c0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 29
-06d0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 28
-06e0: 26 25 26 26 26 26 26 26 26 25 27 27 27 27 27 28
-06f0: 25 25 25 25 25 25 25 25 25 25 25 25 26 26 26 28
-0700: 29 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0710: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0720: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 25 25
-0730: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-0740: 01 28 27 27 27 26 25 26 26 26 26 26 26 25 26 26
-0750: 01 28 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0760: 01 27 27 27 27 25 26 26 26 26 26 25 25 25 25 26
-0770: 29 29 28 28 25 25 25 25 25 25 25 25 25 25 25 25
-0780: 29 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0790: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-07a0: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26 25
-07b0: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-07c0: 01 28 28 27 27 27 27 27 27 27 27 25 25 25 25 27
-07d0: 01 28 28 27 27 27 27 27 27 27 27 27 25 27 27 27
-07e0: 01 29 28 28 28 27 27 27 27 27 27 27 26 27 27 27
-07f0: 01 29 29 29 28 28 28 28 28 28 28 28 26 28 28 28
-0800: 26 26 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-0810: 26 26 26 25 26 26 26 26 26 26 26 25 26 26 26 26
-0820: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-0830: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0840: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-0850: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0860: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-0870: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0880: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-0890: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-08a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-08b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-08c0: 27 27 27 27 27 27 27 27 26 26 26 27 27 27 27 27
-08d0: 27 27 27 27 27 27 27 27 27 26 26 27 27 27 27 27
-08e0: 27 27 27 27 27 27 27 27 27 26 27 27 27 27 27 27
-08f0: 28 28 28 28 28 28 28 28 28 26 28 28 28 28 28 28
-0900: 26 26 26 25 26 26 26 26 26 26 26 25 27 27 28 01
-0910: 26 26 26 25 26 26 26 26 26 26 26 25 27 27 28 01
-0920: 26 26 25 25 26 26 26 26 26 26 26 25 27 27 27 01
-0930: 25 25 25 25 25 25 25 25 25 25 25 25 25 29 29 29
-0940: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 29
-0950: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 28
-0960: 26 26 26 26 26 25 26 26 26 25 27 27 27 27 27 28
-0970: 25 25 25 25 25 25 25 25 25 25 25 26 26 26 26 28
-0980: 26 26 26 26 26 26 26 25 27 27 27 27 27 28 28 01
-0990: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-09a0: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-09b0: 25 25 25 25 25 25 26 26 26 26 26 26 26 29 29 29
-09c0: 27 27 26 26 26 27 27 27 27 27 27 27 27 27 28 29
-09d0: 27 27 27 26 26 27 27 27 27 27 27 27 27 28 28 28
-09e0: 27 27 27 26 27 27 27 27 27 27 27 28 28 28 28 29
-09f0: 28 28 28 26 28 28 28 28 28 28 28 28 28 29 29 29
-0a00: 29 29 29 29 29 28 29 29 29 29 29 28 29 29 29 29
-0a10: 29 28 28 28 28 26 28 28 28 28 28 26 28 28 28 28
-0a20: 29 28 27 27 27 26 28 28 28 28 28 26 28 28 28 28
-0a30: 29 27 27 27 27 25 25 25 25 25 25 25 25 25 25 25
-0a40: 29 27 27 27 27 25 25 26 26 26 26 26 26 25 26 26
-0a50: 28 27 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0a60: 28 27 27 27 27 25 26 26 26 26 26 26 26 25 26 26
-0a70: 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25 25
-0a80: 29 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0a90: 28 27 27 27 27 27 27 25 26 26 26 26 26 26 26 25
-0aa0: 28 27 27 27 27 27 27 25 25 26 26 26 26 26 26 25
-0ab0: 28 26 26 26 26 26 25 25 25 25 25 25 25 25 25 25
-0ac0: 01 28 28 27 27 27 27 27 27 27 27 25 25 25 25 27
-0ad0: 01 28 28 27 27 27 27 27 27 27 27 27 25 27 27 27
-0ae0: 01 29 28 28 28 27 27 27 27 27 27 27 26 27 27 27
-0af0: 01 29 29 29 28 28 28 28 28 28 28 28 26 28 28 28
-0b00: 29 29 29 28 29 29 29 29 29 29 29 28 29 29 29 29
-0b10: 28 28 28 26 28 28 28 28 28 28 28 26 28 28 28 28
-0b20: 28 28 28 26 28 28 28 28 28 28 28 26 28 28 28 28
-0b30: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0b40: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0b50: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-0b60: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-0b70: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0b80: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-0b90: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-0ba0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-0bb0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-0bc0: 27 27 27 27 27 27 27 27 26 26 26 27 27 27 27 27
-0bd0: 27 27 27 27 27 27 27 27 27 26 26 27 27 27 27 27
-0be0: 27 27 27 27 27 27 27 27 27 26 27 27 27 27 27 27
-0bf0: 28 28 28 28 28 28 28 28 28 26 28 28 28 28 28 28
-0c00: 29 29 29 28 29 29 29 29 29 29 28 29 29 29 29 29
-0c10: 28 28 28 26 28 28 28 28 28 28 26 28 28 28 28 29
-0c20: 28 28 28 26 28 28 28 28 28 28 26 27 27 28 28 29
-0c30: 25 25 25 25 25 25 25 25 25 25 25 27 27 27 27 29
-0c40: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 29
-0c50: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 28
-0c60: 26 26 26 26 26 25 26 26 26 26 25 27 27 27 27 28
-0c70: 25 25 25 25 25 25 25 25 25 25 25 26 26 26 26 28
-0c80: 26 26 26 26 26 26 26 25 27 27 27 27 27 28 28 01
-0c90: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-0ca0: 26 26 26 26 26 26 26 25 27 27 27 27 27 27 28 01
-0cb0: 25 25 25 25 25 25 26 26 26 26 26 26 26 29 29 29
-0cc0: 27 27 26 26 26 27 27 27 27 27 27 27 27 27 28 29
-0cd0: 27 27 27 26 26 27 27 27 27 27 27 27 27 28 28 28
-0ce0: 27 27 27 26 27 27 27 27 27 27 27 28 28 28 28 29
-0cf0: 28 28 28 26 28 28 28 28 28 28 28 28 28 29 29 29
-0d00: 29 29 29 29 29 28 29 29 29 29 28 29 29 29 29 29
-0d10: 29 28 28 28 28 26 28 28 28 28 26 28 28 28 28 29
-0d20: 29 28 27 27 27 26 28 28 28 28 26 27 27 28 28 29
-0d30: 29 27 27 27 27 25 25 25 25 25 25 27 27 27 27 29
-0d40: 29 27 27 27 27 25 25 26 26 26 25 27 27 27 27 29
-0d50: 28 27 27 27 27 25 26 26 26 26 25 27 27 27 27 28
-0d60: 28 27 27 27 27 25 26 26 26 26 25 27 27 27 27 28
-0d70: 26 26 26 26 26 25 25 25 25 25 25 26 26 26 26 28
-0d80: 29 29 27 27 27 27 27 25 27 27 27 27 27 28 28 01
-0d90: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0da0: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0db0: 28 26 26 26 26 26 25 25 25 25 25 28 28 29 29 29
-0dc0: 01 28 27 27 27 27 27 27 26 25 27 27 27 27 27 29
-0dd0: 01 28 27 27 27 27 27 27 26 25 27 27 27 27 27 29
-0de0: 01 28 27 27 27 27 27 27 26 25 27 27 27 27 27 28
-0df0: 29 29 29 28 28 28 25 25 25 25 25 25 26 26 26 28
-0e00: 29 27 27 27 27 27 27 25 26 26 26 25 27 27 28 01
-0e10: 28 27 27 27 27 27 27 25 26 26 26 25 27 27 28 01
-0e20: 28 27 27 27 27 27 27 25 26 26 26 25 27 27 27 01
-0e30: 28 26 26 26 26 26 25 25 25 25 25 25 25 29 29 29
-0e40: 01 28 27 27 27 26 25 26 26 25 27 27 27 27 27 29
-0e50: 01 28 27 27 27 25 26 26 26 25 27 27 27 27 27 28
-0e60: 01 27 27 27 27 25 26 26 26 25 26 27 27 27 27 28
-0e70: 29 29 28 28 25 25 25 25 25 25 25 26 26 26 26 28
-0e80: 29 27 27 27 27 27 27 25 27 27 27 27 27 28 28 01
-0e90: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0ea0: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0eb0: 28 26 26 26 26 26 25 25 25 25 25 26 26 29 29 29
-0ec0: 01 28 28 27 27 27 26 26 26 26 26 27 27 27 27 29
-0ed0: 01 28 27 27 27 27 26 26 26 26 27 27 27 27 27 28
-0ee0: 01 28 27 27 27 26 26 26 26 26 27 27 27 27 27 28
-0ef0: 01 28 26 26 26 26 26 25 25 25 25 25 26 26 26 28
-0f00: 29 27 27 27 27 27 27 25 26 26 26 25 27 27 28 01
-0f10: 28 27 27 27 27 27 27 25 26 26 26 25 27 27 28 01
-0f20: 28 27 27 27 27 27 27 25 26 26 26 25 27 27 27 01
-0f30: 28 26 26 26 26 26 25 25 25 25 25 25 25 29 29 29
-0f40: 01 28 27 27 27 26 25 26 26 25 27 27 27 27 27 29
-0f50: 01 28 27 27 27 25 26 26 26 25 27 27 27 27 27 28
-0f60: 01 27 27 27 27 25 26 26 26 25 27 27 27 27 27 28
-0f70: 29 29 28 28 25 25 25 25 25 25 25 26 26 26 26 28
-0f80: 29 27 27 27 27 27 27 25 27 27 27 27 27 28 28 01
-0f90: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0fa0: 28 27 27 27 27 27 27 25 27 27 27 27 27 27 28 01
-0fb0: 28 26 26 26 26 26 25 25 26 26 26 26 26 29 29 29
-0fc0: 01 28 28 27 27 27 27 27 27 27 27 27 27 27 28 29
-0fd0: 01 28 28 27 27 27 27 27 27 27 27 27 27 28 28 28
-0fe0: 01 29 28 28 28 27 27 27 27 27 27 28 28 28 28 29
-0ff0: 01 29 29 29 28 28 28 28 28 28 28 28 28 29 29 29
-1000: 26 26 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-1010: 26 26 26 25 26 26 26 26 26 26 26 25 26 26 26 26
-1020: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-1030: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1040: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-1050: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-1060: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-1070: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1080: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-1090: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-10a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-10b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-10c0: 25 25 25 26 26 26 26 26 26 25 26 26 26 27 27 27
-10d0: 26 25 26 26 26 26 26 26 26 25 26 26 27 27 27 27
-10e0: 26 25 26 26 26 26 26 26 25 25 25 26 27 27 27 27
-10f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1100: 26 26 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-1110: 26 26 26 25 26 26 26 26 26 26 26 25 26 26 26 26
-1120: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-1130: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1140: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-1150: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-1160: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-1170: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1180: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-1190: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-11a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-11b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-11c0: 25 25 25 26 26 26 26 26 26 25 26 26 26 26 26 26
-11d0: 27 25 27 27 26 26 26 26 26 25 26 26 26 26 26 26
-11e0: 27 25 27 27 26 26 26 26 25 25 25 26 26 26 26 26
-11f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1200: 26 26 25 25 25 26 26 26 26 26 26 25 25 28 28 28
-1210: 26 26 26 25 26 26 26 26 26 26 26 25 26 28 28 28
-1220: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 28 28
-1230: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1240: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-1250: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-1260: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-1270: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1280: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-1290: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-12a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-12b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-12c0: 25 25 25 26 26 26 26 26 26 25 26 26 26 26 26 26
-12d0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-12e0: 26 25 26 26 26 26 26 26 25 25 25 26 26 26 26 26
-12f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1300: 28 28 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-1310: 28 28 28 25 26 26 26 26 26 26 26 25 26 26 26 26
-1320: 28 28 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-1330: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1340: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 26
-1350: 26 26 26 26 26 25 26 26 26 26 26 26 26 25 26 26
-1360: 26 26 26 26 26 25 26 26 26 26 26 26 25 25 25 26
-1370: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1380: 25 26 26 26 26 26 26 25 26 26 26 26 26 26 25 25
-1390: 26 26 26 26 26 26 26 25 26 26 26 26 26 26 26 25
-13a0: 26 26 26 26 26 26 25 25 25 25 26 26 26 26 26 25
-13b0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-13c0: 25 25 25 26 26 26 26 26 26 25 26 26 26 26 26 26
-13d0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-13e0: 26 25 26 26 26 26 26 26 25 25 25 26 26 26 26 26
-13f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1400: 26 26 25 25 24 25 25 25 25 25 24 24 24 24 24 24
-1410: 26 26 26 24 25 25 25 25 25 25 24 24 24 24 24 24
-1420: 26 26 25 24 25 25 25 25 25 25 24 24 24 24 24 24
-1430: 25 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1440: 25 25 25 25 24 24 25 25 25 25 24 24 24 24 24 24
-1450: 25 25 25 25 25 24 25 25 25 25 24 24 24 24 24 24
-1460: 25 25 25 25 25 24 25 25 25 25 24 24 24 24 24 24
-1470: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1480: 24 25 25 25 25 25 25 24 24 24 24 24 24 24 24 24
-1490: 25 25 25 25 25 25 25 24 24 24 24 24 24 24 24 24
-14a0: 25 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24
-14b0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-14c0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-14d0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-14e0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-14f0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1500: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1510: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1520: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1530: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1540: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1550: 24 24 24 24 24 24 24 24 25 25 25 25 25 24 25 25
-1560: 24 24 24 24 24 24 24 25 25 25 25 25 24 24 24 25
-1570: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1580: 24 24 24 24 24 24 24 24 25 25 25 25 25 25 24 24
-1590: 24 24 24 24 24 24 24 24 25 25 25 25 25 25 25 24
-15a0: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 24
-15b0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 25 25
-15c0: 24 24 24 24 24 25 25 25 25 24 25 25 25 26 26 26
-15d0: 24 24 24 24 25 25 25 25 25 24 25 25 26 26 26 26
-15e0: 24 24 24 24 25 25 25 25 24 24 24 26 26 26 26 26
-15f0: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 25
-1600: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1610: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1620: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1630: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1640: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1650: 25 25 25 25 25 24 25 25 25 25 24 24 24 24 24 24
-1660: 25 25 25 25 25 24 25 25 25 25 25 24 24 24 24 25
-1670: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1680: 24 25 25 25 25 25 25 24 25 25 25 25 25 25 24 24
-1690: 25 25 25 25 25 25 25 24 25 25 25 25 25 25 25 24
-16a0: 25 25 25 25 25 25 24 24 24 24 25 25 25 25 25 24
-16b0: 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24 24
-16c0: 25 25 25 26 26 25 25 25 25 24 25 25 26 26 26 26
-16d0: 26 25 26 26 26 26 26 26 26 25 26 26 26 26 26 26
-16e0: 26 25 26 26 26 26 26 26 25 25 25 26 26 26 26 26
-16f0: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1700: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1710: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1720: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1730: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1740: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1750: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1760: 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24
-1770: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1780: 24 25 25 25 25 25 25 24 25 25 24 24 24 24 24 24
-1790: 25 25 25 25 25 25 25 24 25 25 24 24 24 24 24 24
-17a0: 25 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24
-17b0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-17c0: 25 24 24 25 25 25 25 25 25 24 24 24 24 24 24 24
-17d0: 26 25 25 25 25 25 25 25 25 24 24 24 24 24 24 24
-17e0: 26 25 26 25 25 25 25 25 24 24 24 24 24 24 24 24
-17f0: 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24 24
-1800: 24 24 24 24 24 25 25 25 25 25 26 25 25 26 26 26
-1810: 24 24 24 24 25 25 25 25 25 25 26 25 26 26 26 26
-1820: 24 24 24 24 25 25 25 25 25 25 25 25 25 26 26 26
-1830: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 25
-1840: 24 24 24 24 24 24 25 25 25 25 26 26 26 25 26 26
-1850: 24 24 24 24 24 24 25 25 25 25 26 26 26 25 26 26
-1860: 24 24 24 24 24 24 25 25 25 25 26 26 25 25 25 26
-1870: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 25
-1880: 24 24 24 24 25 25 25 24 25 25 26 26 26 26 25 25
-1890: 24 24 24 25 25 25 25 24 25 25 25 26 26 26 26 25
-18a0: 24 24 24 25 25 25 24 24 24 24 25 25 26 26 26 25
-18b0: 24 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25
-18c0: 24 24 24 25 25 25 25 25 25 24 26 26 26 26 26 26
-18d0: 24 24 24 24 25 25 25 25 25 24 26 26 26 26 26 26
-18e0: 24 24 24 24 25 25 25 25 24 24 25 26 26 26 26 26
-18f0: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 25
-1900: 26 26 25 25 25 25 25 25 25 24 24 24 24 24 24 24
-1910: 26 26 26 25 26 25 25 25 25 24 24 24 24 24 24 24
-1920: 26 26 26 25 26 25 25 25 25 24 24 24 24 24 24 24
-1930: 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24
-1940: 26 26 26 26 25 24 25 25 25 24 24 24 24 24 24 24
-1950: 26 26 26 26 26 24 25 25 25 24 24 24 24 24 24 24
-1960: 26 26 26 26 26 24 25 25 24 24 24 24 24 24 24 24
-1970: 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24 24
-1980: 25 26 26 26 25 25 25 24 24 24 24 24 24 24 24 24
-1990: 26 26 26 26 25 25 25 24 24 24 24 24 24 24 24 24
-19a0: 26 26 26 26 26 25 24 24 24 24 24 24 24 24 24 24
-19b0: 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24
-19c0: 25 25 25 26 26 25 25 25 25 24 24 24 24 24 24 24
-19d0: 26 25 26 26 26 25 25 25 25 24 24 24 24 24 24 24
-19e0: 26 25 26 26 26 25 25 25 24 24 24 24 24 24 24 24
-19f0: 25 25 25 25 25 24 24 24 24 24 24 24 24 24 24 24
-1a00: 24 24 24 24 24 25 25 25 25 25 26 25 25 26 26 26
-1a10: 24 24 24 24 25 25 25 25 25 25 25 25 26 26 26 26
-1a20: 24 24 24 24 25 25 25 25 25 25 24 24 25 26 26 26
-1a30: 24 24 24 24 24 24 24 24 24 24 24 24 24 25 25 25
-1a40: 24 24 24 24 24 24 25 25 25 25 25 25 25 24 26 26
-1a50: 24 24 24 24 24 24 24 25 25 25 25 25 25 24 25 25
-1a60: 24 24 24 24 24 24 24 25 25 25 25 25 24 24 24 25
-1a70: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1a80: 24 24 24 24 24 24 24 24 25 25 25 25 25 25 24 24
-1a90: 24 24 24 24 24 24 24 24 25 25 25 25 25 25 25 24
-1aa0: 24 24 24 24 24 24 24 24 24 24 25 25 25 25 25 24
-1ab0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ac0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ad0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ae0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1af0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1b00: 26 26 25 25 25 26 26 26 26 26 26 25 25 26 26 26
-1b10: 26 26 26 25 26 26 26 26 26 26 26 25 26 26 26 26
-1b20: 26 26 26 25 26 26 26 26 26 26 25 25 25 26 26 26
-1b30: 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25 25
-1b40: 26 26 26 26 25 25 26 26 26 26 26 26 26 25 26 25
-1b50: 25 25 25 25 25 24 25 25 25 25 25 25 25 24 25 25
-1b60: 25 25 25 25 25 24 25 25 25 25 25 25 24 24 24 25
-1b70: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1b80: 24 25 25 25 25 25 25 24 25 25 25 25 25 25 24 24
-1b90: 25 25 25 25 25 25 25 24 25 25 25 25 25 25 25 24
-1ba0: 25 25 25 25 25 25 24 24 24 24 25 25 25 25 25 24
-1bb0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1bc0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1bd0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1be0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1bf0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1c00: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1c10: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1c20: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1c30: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 01 01 01 01 01
-1c40: 01 01 01 01 01 1b 01 01 01 01 1b 01 01 01 01 01
-1c50: 01 01 01 01 01 01 01 01 01 01 1b 01 01 01 01 01
-1c60: 01 01 01 01 01 01 01 01 01 01 1b 01 01 01 01 01
-1c70: 01 01 01 01 01 01 01 01 01 1b 1b 01 01 01 01 01
-1c80: 01 01 01 01 01 01 01 01 1b 1b 01 01 01 01 01 01
-1c90: 01 01 01 01 01 01 01 1b 1b 01 01 01 01 01 01 01
-1ca0: 01 01 01 01 01 01 01 1b 01 01 01 01 01 01 01 01
-1cb0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1cc0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1cd0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1ce0: 01 01 01 01 01 01 01 1b 01 01 01 01 01 01 01 01
-1cf0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1d00: 01 01 01 01 01 01 01 1b 1b 1b 01 01 01 01 01 01
-1d10: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1d20: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 1b 01 01 01 01
-1d30: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 1b 01 01 01 01
-1d40: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 1b 01 01 01 01
-1d50: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 1b 01 01 01 01
-1d60: 01 01 01 01 01 1b 1b 1b 1b 1b 1b 1b 01 01 01 01
-1d70: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1d80: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1d90: 01 01 01 01 01 01 01 1b 1b 1b 01 01 01 01 01 01
-1da0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-1db0: 01 01 01 01 01 01 01 1b 1b 1b 01 01 01 01 01 01
-1dc0: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1dd0: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1de0: 01 01 01 01 01 01 1b 1b 1b 1b 1b 01 01 01 01 01
-1df0: 01 01 01 01 01 01 01 1b 1b 1b 01 01 01 01 01 01
-1e00: 09 09 09 08 08 09 09 09 09 09 09 09 08 08 09 09
-1e10: 09 08 08 08 09 09 08 08 07 09 09 08 08 07 07 07
-1e20: 09 08 07 07 09 08 08 07 07 06 09 08 08 07 07 09
-1e30: 08 08 07 06 08 08 08 07 07 06 09 08 07 07 08 09
-1e40: 07 06 06 08 06 06 07 07 07 06 06 08 07 08 08 08
-1e50: 06 08 08 08 08 06 06 07 06 06 08 06 08 08 08 07
-1e60: 08 07 07 08 08 08 06 06 06 08 08 07 06 07 07 07
-1e70: 06 06 07 07 07 08 08 06 08 08 07 07 06 06 06 06
-1e80: 24 24 06 07 07 07 06 07 07 07 07 06 06 24 24 24
-1e90: 24 24 24 06 06 06 06 06 07 06 06 24 24 24 24 24
-1ea0: 24 24 24 24 24 24 24 24 06 24 24 24 24 24 24 24
-1eb0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ec0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ed0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ee0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1ef0: 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24 24
-1f00: 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e
-1f10: 0d 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0e 0d
-1f20: 0d 0d 0d 0e 12 12 12 12 12 12 12 12 0e 0d 0d 0d
-1f30: 0d 0d 13 0d 0e 12 12 12 12 12 12 0e 0d 13 0d 0d
-1f40: 0d 0d 12 13 0d 0e 12 12 12 12 0e 0d 13 12 0d 0d
-1f50: 0d 0d 12 12 13 0d 0e 12 12 0e 0d 13 12 12 0d 0d
-1f60: 0d 0d 12 12 12 13 0d 0e 0e 0d 13 12 12 12 0d 0d
-1f70: 0d 0d 12 12 12 12 13 0d 0d 13 12 12 12 12 0d 0d
-1f80: 0d 0d 12 12 12 12 0e 0d 0d 0e 12 12 12 12 0d 0d
-1f90: 0d 0d 12 12 12 0e 0d 13 13 0d 0e 12 12 12 0d 0d
-1fa0: 0d 0d 12 12 0e 0d 13 12 12 13 0d 0e 12 12 0d 0d
-1fb0: 0d 0d 12 0e 0d 13 12 12 12 12 13 0d 0e 12 0d 0d
-1fc0: 0d 0d 0e 0d 13 12 12 12 12 12 12 13 0d 0e 0d 0d
-1fd0: 0d 0d 0d 13 12 12 12 12 12 12 12 12 13 0d 0d 0d
-1fe0: 0d 13 13 13 13 13 13 13 13 13 13 13 13 13 13 0d
-1ff0: 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13
-2000: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-2010: 01 01 2d 2d 2d 2d 2d 01 01 01 2d 2d 2d 01 01 01
-2020: 01 01 01 01 2d 01 01 01 01 2d 01 01 01 2d 01 01
-2030: 01 01 01 01 2d 01 01 01 01 2d 01 01 01 2d 01 01
-2040: 01 01 01 01 2d 01 01 01 01 2d 01 01 01 2d 01 01
-2050: 01 01 01 01 2d 01 01 01 01 2d 01 01 01 2d 01 01
-2060: 01 01 01 01 2d 01 01 01 01 01 2d 2d 2d 01 01 01
-2070: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-2080: 01 01 2d 2d 2d 2d 01 01 01 01 2d 2d 2d 01 01 01
-2090: 01 01 2d 01 01 01 2d 01 01 2d 01 01 01 2d 01 01
-20a0: 01 01 2d 01 01 01 2d 01 01 2d 01 01 01 2d 01 01
-20b0: 01 01 2d 01 01 01 2d 01 01 2d 01 01 01 2d 01 01
-20c0: 01 01 2d 01 01 01 2d 01 01 2d 01 01 01 2d 01 01
-20d0: 01 01 2d 2d 2d 2d 01 01 01 01 2d 2d 2d 01 01 01
-20e0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-20f0: 01 01 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 01
-2100: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-2110: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-2120: 01 01 01 01 01 01 17 17 17 17 01 01 01 01 01 01
-2130: 01 01 01 01 17 17 17 17 17 17 17 17 01 01 01 01
-2140: 01 01 01 17 17 17 16 17 16 17 17 17 17 01 01 01
-2150: 01 01 01 17 17 17 15 15 15 15 17 17 17 01 01 01
-2160: 01 01 17 17 17 15 16 17 16 17 17 17 17 17 01 01
-2170: 01 01 17 17 17 15 16 17 16 17 17 17 17 17 01 01
-2180: 01 01 17 17 17 15 16 17 16 17 17 17 17 17 01 01
-2190: 01 01 17 17 17 15 16 17 16 17 17 17 17 17 01 01
-21a0: 01 01 01 17 17 17 15 15 15 15 17 17 17 01 01 01
-21b0: 01 01 01 17 17 17 16 17 16 17 17 17 17 01 01 01
-21c0: 01 01 01 01 17 17 17 17 17 17 17 17 01 01 01 01
-21d0: 01 01 01 01 01 01 17 17 17 17 01 01 01 01 01 01
-21e0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
-21f0: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01