aboutsummaryrefslogtreecommitdiff
path: root/basys3
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-04-04 18:04:41 +0200
committerlonkaars <loek@pipeframe.xyz>2023-04-04 18:04:41 +0200
commitbda744dc3ed0490bcbb22b22147b25e68a789f16 (patch)
tree5fa2c1f133c4acfda55f2c51e4d77cdca112de61 /basys3
parent9c0a8622c26743583966b653704d5bfc8b4c0c7d (diff)
remove pull down resistor for SPI SR and test new SPI transmit
Diffstat (limited to 'basys3')
-rw-r--r--basys3/basys3.srcs/ppu_dispctl.vhd13
-rw-r--r--basys3/basys3.xpr22
-rw-r--r--basys3/ppu_tb_behav.wcfg16
3 files changed, 27 insertions, 24 deletions
diff --git a/basys3/basys3.srcs/ppu_dispctl.vhd b/basys3/basys3.srcs/ppu_dispctl.vhd
index 1465a58..ce53557 100644
--- a/basys3/basys3.srcs/ppu_dispctl.vhd
+++ b/basys3/basys3.srcs/ppu_dispctl.vhd
@@ -15,7 +15,7 @@ entity ppu_dispctl is port(
RO,GO,BO : out std_logic_vector(PPU_COLOR_OUTPUT_DEPTH-1 downto 0); -- VGA color out
NVSYNC, NHSYNC : out std_logic; -- VGA sync out
- THBLANK, TVBLANK : out std_logic; -- tiny sync signals
+ THBLANK, TVBLANK : out std_logic := '0'; -- tiny sync signals
ACTIVE : out std_logic); -- screen currently active (currently same for tiny/native, TODO: offset tiny for first scanline)
end ppu_dispctl;
@@ -73,7 +73,6 @@ begin
-- tiny VCOUNT and HCOUNT
process(TPIXCLK, NPIXCLK, RESET)
variable TMP_T_POS_X : unsigned(PPU_SCREEN_T_POS_X_WIDTH-1 downto 0) := (others => '0');
- variable TMP_THBLANK, TMP_TVBLANK : std_logic := '0';
variable TMP_NHCOUNT, TMP_NVCOUNT : unsigned(PPU_VGA_SIGNAL_PIXEL_WIDTH-1 downto 0) := (others => '0');
variable TMP_NHACTIVE, TMP_NVACTIVE : std_logic := '0';
variable TMP_NHSYNC, TMP_NVSYNC : std_logic := '0';
@@ -84,8 +83,6 @@ begin
TMP_NVCOUNT := (others => '0');
TMP_NHACTIVE := '0';
TMP_NVACTIVE := '0';
- TMP_THBLANK := '0'; -- TODO
- TMP_TVBLANK := '0'; -- TODO
TMP_NVSYNC := '0';
TMP_NHSYNC := '0';
end if;
@@ -135,9 +132,6 @@ begin
if falling_edge(TPIXCLK) then -- NOTE: falling edge used because of clock offset of 90 (should be 270)
T_POS_X <= TMP_T_POS_X;
- THBLANK <= TMP_THBLANK;
- TVBLANK <= TMP_TVBLANK;
-
if TMP_NACTIVE = '1' then
TMP_T_POS_X := TMP_T_POS_X + 1;
if TMP_T_POS_X >= PPU_SCREEN_WIDTH then
@@ -165,4 +159,9 @@ begin
reset => RESET,
npxclk => NPIXCLK,
tpxclk => TPIXCLK);
+
+ THBLANK <= (not NHACTIVE) and NVACTIVE and nor(T_POS_X);
+ TVBLANK <= not NVACTIVE;
+ --THBLANK <= '0';
+ --TVBLANK <= '0';
end Behavioral;
diff --git a/basys3/basys3.xpr b/basys3/basys3.xpr
index 852d6c3..6f6275c 100644
--- a/basys3/basys3.xpr
+++ b/basys3/basys3.xpr
@@ -60,7 +60,7 @@
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
<Option Name="EnableBDX" Val="FALSE"/>
<Option Name="DSABoardId" Val="basys3"/>
- <Option Name="WTXSimLaunchSim" Val="124"/>
+ <Option Name="WTXSimLaunchSim" Val="133"/>
<Option Name="WTModelSimLaunchSim" Val="0"/>
<Option Name="WTQuestaLaunchSim" Val="0"/>
<Option Name="WTIesLaunchSim" Val="0"/>
@@ -259,14 +259,6 @@
</FileSet>
<FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
<Filter Type="Utils"/>
- <File Path="$PSRCDIR/utils_1/imports/synth_3/top.dcp">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- <Attr Name="UsedInSteps" Val="synth_3"/>
- <Attr Name="AutoDcp" Val="1"/>
- </FileInfo>
- </File>
<Config>
<Option Name="TopAutoSet" Val="TRUE"/>
</Config>
@@ -390,9 +382,11 @@
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
<RQSFiles/>
</Run>
- <Run Id="synth_3" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_3/top.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_3" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_3" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_3">
+ <Run Id="synth_4" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_4" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_4" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_4">
<Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+ <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022">
+ <Desc>Vivado Synthesis Defaults</Desc>
+ </StratHandle>
<Step Id="synth_design"/>
</Strategy>
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
@@ -468,9 +462,11 @@
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
<RQSFiles/>
</Run>
- <Run Id="impl_3" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_3" SynthRun="synth_3" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_3" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_3">
+ <Run Id="impl_4" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_4" SynthRun="synth_4" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_4" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_4">
<Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
+ <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022">
+ <Desc>Default settings for Implementation.</Desc>
+ </StratHandle>
<Step Id="init_design"/>
<Step Id="opt_design"/>
<Step Id="power_opt_design"/>
diff --git a/basys3/ppu_tb_behav.wcfg b/basys3/ppu_tb_behav.wcfg
index afd33be..c359e87 100644
--- a/basys3/ppu_tb_behav.wcfg
+++ b/basys3/ppu_tb_behav.wcfg
@@ -13,13 +13,13 @@
</db_ref>
</db_ref_list>
<zoom_setting>
- <ZoomStartTime time="925.165500 us"></ZoomStartTime>
- <ZoomEndTime time="937.055501 us"></ZoomEndTime>
- <Cursor1Time time="930.945500 us"></Cursor1Time>
+ <ZoomStartTime time="136.205000 us"></ZoomStartTime>
+ <ZoomEndTime time="1,382.205001 us"></ZoomEndTime>
+ <Cursor1Time time="1,058.205000 us"></Cursor1Time>
</zoom_setting>
<column_width_setting>
<NameColumnWidth column_width="243"></NameColumnWidth>
- <ValueColumnWidth column_width="145"></ValueColumnWidth>
+ <ValueColumnWidth column_width="141"></ValueColumnWidth>
</column_width_setting>
<WVObjectSize size="6" />
<wvobject type="group" fp_name="group136">
@@ -436,6 +436,14 @@
<obj_property name="ElementShortName">ACTIVE</obj_property>
<obj_property name="ObjectShortName">ACTIVE</obj_property>
</wvobject>
+ <wvobject type="logic" fp_name="/ppu_tb/uut/display_controller/THBLANK">
+ <obj_property name="ElementShortName">THBLANK</obj_property>
+ <obj_property name="ObjectShortName">THBLANK</obj_property>
+ </wvobject>
+ <wvobject type="logic" fp_name="/ppu_tb/uut/display_controller/TVBLANK">
+ <obj_property name="ElementShortName">TVBLANK</obj_property>
+ <obj_property name="ObjectShortName">TVBLANK</obj_property>
+ </wvobject>
</wvobject>
</wvobject>
<wvobject type="group" fp_name="group115">