aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-02-20 11:23:30 +0100
committerlonkaars <loek@pipeframe.xyz>2023-02-20 11:23:30 +0100
commitd2eb1cf5055a19f3e276ce737428b06332de63b3 (patch)
treef70c6fc4e29660efa5c4c6ad02c1730c08f0fd19 /basys3/basys3.srcs
parent9b84c25a53b7269228743e398b13c19af505226b (diff)
rename .vhdl to .vhd
Diffstat (limited to 'basys3/basys3.srcs')
-rw-r--r--basys3/basys3.srcs/ppu_addr_dec.vhd (renamed from basys3/basys3.srcs/ppu_addr_dec.vhdl)0
-rw-r--r--basys3/basys3.srcs/ppu_addr_dec_tb.vhd (renamed from basys3/basys3.srcs/ppu_addr_dec_tb.vhdl)0
-rw-r--r--basys3/basys3.srcs/ppu_pceg.vhd (renamed from basys3/basys3.srcs/ppu_pceg.vhdl)0
-rw-r--r--basys3/basys3.srcs/ppu_pceg_tb.vhd (renamed from basys3/basys3.srcs/ppu_pceg_tb.vhdl)0
4 files changed, 0 insertions, 0 deletions
diff --git a/basys3/basys3.srcs/ppu_addr_dec.vhdl b/basys3/basys3.srcs/ppu_addr_dec.vhd
index 28c22fc..28c22fc 100644
--- a/basys3/basys3.srcs/ppu_addr_dec.vhdl
+++ b/basys3/basys3.srcs/ppu_addr_dec.vhd
diff --git a/basys3/basys3.srcs/ppu_addr_dec_tb.vhdl b/basys3/basys3.srcs/ppu_addr_dec_tb.vhd
index 5c7119d..5c7119d 100644
--- a/basys3/basys3.srcs/ppu_addr_dec_tb.vhdl
+++ b/basys3/basys3.srcs/ppu_addr_dec_tb.vhd
diff --git a/basys3/basys3.srcs/ppu_pceg.vhdl b/basys3/basys3.srcs/ppu_pceg.vhd
index 9675e5b..9675e5b 100644
--- a/basys3/basys3.srcs/ppu_pceg.vhdl
+++ b/basys3/basys3.srcs/ppu_pceg.vhd
diff --git a/basys3/basys3.srcs/ppu_pceg_tb.vhdl b/basys3/basys3.srcs/ppu_pceg_tb.vhd
index 137d4b4..137d4b4 100644
--- a/basys3/basys3.srcs/ppu_pceg_tb.vhdl
+++ b/basys3/basys3.srcs/ppu_pceg_tb.vhd