aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/ppu_sprite_fg_tb.vhd
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-02-21 16:40:08 +0100
committerlonkaars <loek@pipeframe.xyz>2023-02-21 16:40:08 +0100
commit3bb4bbb64bfc9263520b68cac5b3c6caaa37d19d (patch)
tree672ed4361963a8931406d54e8d8f4883fd4e890e /basys3/basys3.srcs/ppu_sprite_fg_tb.vhd
parent9ab2a3d4b4304a4f489e100a6b66d6ee414143e7 (diff)
WIP ppu foreground sprite component
Diffstat (limited to 'basys3/basys3.srcs/ppu_sprite_fg_tb.vhd')
-rw-r--r--basys3/basys3.srcs/ppu_sprite_fg_tb.vhd15
1 files changed, 15 insertions, 0 deletions
diff --git a/basys3/basys3.srcs/ppu_sprite_fg_tb.vhd b/basys3/basys3.srcs/ppu_sprite_fg_tb.vhd
new file mode 100644
index 0000000..87c4f6e
--- /dev/null
+++ b/basys3/basys3.srcs/ppu_sprite_fg_tb.vhd
@@ -0,0 +1,15 @@
+library ieee;
+library work;
+library unisim;
+
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use unisim.vcomponents.all;
+use work.ppu_consts.all;
+
+entity ppu_sprite_fg_tb is
+end ppu_sprite_fg_tb;
+
+architecture Behavioral of ppu_sprite_fg_tb is
+begin
+end Behavioral;