aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/ppu_comp_tb.vhd
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-02-24 12:49:20 +0100
committerlonkaars <loek@pipeframe.xyz>2023-02-24 12:49:20 +0100
commit14a1c464c27206bff847fd46d3d5594b30f53af9 (patch)
tree213791289bf22a73eae33c367ccf14636f9822d0 /basys3/basys3.srcs/ppu_comp_tb.vhd
parentfd4732181317907d51be645f75d138c2176a8e3b (diff)
update style guide and format code
Diffstat (limited to 'basys3/basys3.srcs/ppu_comp_tb.vhd')
-rw-r--r--basys3/basys3.srcs/ppu_comp_tb.vhd60
1 files changed, 28 insertions, 32 deletions
diff --git a/basys3/basys3.srcs/ppu_comp_tb.vhd b/basys3/basys3.srcs/ppu_comp_tb.vhd
index e8f6893..be4c2e3 100644
--- a/basys3/basys3.srcs/ppu_comp_tb.vhd
+++ b/basys3/basys3.srcs/ppu_comp_tb.vhd
@@ -8,37 +8,33 @@ entity ppu_comp_tb is
end ppu_comp_tb;
architecture behavioral of ppu_comp_tb is
-COMPONENT ppu_comp
- port (
- FG_HIT: in std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0);
- BG_EN: out std_logic;
- FG_EN: out std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0));
-end component;
-
-signal FG_HIT: std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0) := (others => '0');
-signal BG_EN: std_logic := '0';
-signal FG_EN: std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0) := (others => '0');
+ component ppu_comp port (
+ FG_HIT : in std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0);
+ BG_EN : out std_logic;
+ FG_EN : out std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0));
+ end component;
+ signal FG_HIT : std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0) := (others => '0');
+ signal BG_EN : std_logic := '0';
+ signal FG_EN : std_logic_vector(PPU_FG_SPRITE_COUNT-1 downto 0) := (others => '0');
begin
-UUT : ppu_comp port map (
-FG_HIT => FG_HIT,
-BG_EN => BG_EN,
-FG_EN => FG_EN
-);
- TB : PROCESS
- BEGIN
- FG_HIT <= (OTHERS => '0');
- wait for 1 ps;
- FG_HIT(6) <= '1';
- FG_HIT(5) <= '1';
- FG_HIT(100) <= '1';
- wait for 1 ps;
-
- FG_HIT(0) <= '1';
- wait for 1 ps;
- FG_HIT <= (OTHERS => '0');
- wait for 1 ps;
-
-
- wait;
- END PROCESS;
+ uut : ppu_comp port map (
+ FG_HIT => FG_HIT,
+ BG_EN => BG_EN,
+ FG_EN => FG_EN
+ );
+ tb : process
+ begin
+ FG_HIT <= (others => '0');
+ wait for 1 ps;
+ FG_HIT(6) <= '1';
+ FG_HIT(5) <= '1';
+ FG_HIT(100) <= '1';
+ wait for 1 ps;
+
+ FG_HIT(0) <= '1';
+ wait for 1 ps;
+ FG_HIT <= (others => '0');
+ wait for 1 ps;
+ wait;
+ end process;
end Behavioral;