aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-03-10 16:09:10 +0100
committerlonkaars <loek@pipeframe.xyz>2023-03-10 16:09:10 +0100
commit80e2732c8f208f3f225a2a5c0e540bc50fceab5d (patch)
treed3fdc507b7d67d265b98585518ee85743c447d74 /basys3/basys3.srcs/io.xdc
parent6cd57aed4fa4d8617c602a0efa4856ea58aa2e0e (diff)
top.vhdl
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r--basys3/basys3.srcs/io.xdc12
1 files changed, 6 insertions, 6 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc
index f254cdd..fa1dbd0 100644
--- a/basys3/basys3.srcs/io.xdc
+++ b/basys3/basys3.srcs/io.xdc
@@ -1,6 +1,6 @@
-set_property PACKAGE_PIN A15 [get_ports clkSPI]
-set_property PACKAGE_PIN C15 [get_ports csSPI]
-set_property PACKAGE_PIN A17 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports clkSPI] \ No newline at end of file
+set_property PACKAGE_PIN A15 [get_ports SPI_CLK]
+set_property PACKAGE_PIN C15 [get_ports SPI_CS]
+set_property PACKAGE_PIN A17 [get_ports SPI_MOSI]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS]
+set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK]