aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-03-23 18:25:16 +0100
committerlonkaars <loek@pipeframe.xyz>2023-03-23 18:25:16 +0100
commit49a935b6276e59d48665b6ab6e72ff475173bb67 (patch)
tree92071fa1c75e7ec842c8137e45326d2a698a2322 /basys3/basys3.srcs/io.xdc
parentc8b974d00bbf968187820c21cddaa6627adb904b (diff)
WIP testing ppu (fixed BAM 2nat buffer overflow)
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r--basys3/basys3.srcs/io.xdc2
1 files changed, 0 insertions, 2 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc
index 85d4fc2..8243f1e 100644
--- a/basys3/basys3.srcs/io.xdc
+++ b/basys3/basys3.srcs/io.xdc
@@ -1,9 +1,7 @@
set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI]
-set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS]
set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK]
set_property PACKAGE_PIN J2 [get_ports SPI_CLK]
-set_property PACKAGE_PIN C15 [get_ports SPI_CS]
set_property PACKAGE_PIN L2 [get_ports SPI_MOSI]
set_property IOSTANDARD LVCMOS33 [get_ports SYSCLK]