aboutsummaryrefslogtreecommitdiff
path: root/basys3/basys3.srcs/io.xdc
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-03-31 16:28:17 +0200
committerlonkaars <loek@pipeframe.xyz>2023-03-31 16:28:17 +0200
commit46bf4306575fa31fccaff19f0a592f8b40dd46cb (patch)
tree0f317374e4d81af5862804d588ca05f44c7fb325 /basys3/basys3.srcs/io.xdc
parentfa296fbc6fe1c423932189116bd0cb949de0beef (diff)
it's working
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r--basys3/basys3.srcs/io.xdc2
1 files changed, 2 insertions, 0 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc
index 419e4cd..218d2f1 100644
--- a/basys3/basys3.srcs/io.xdc
+++ b/basys3/basys3.srcs/io.xdc
@@ -82,3 +82,5 @@ set_property PACKAGE_PIN V19 [get_ports {DBG_LEDS_OUT[3]}]
set_property PACKAGE_PIN U19 [get_ports {DBG_LEDS_OUT[2]}]
set_property PACKAGE_PIN E19 [get_ports {DBG_LEDS_OUT[1]}]
set_property PACKAGE_PIN U16 [get_ports {DBG_LEDS_OUT[0]}]
+
+set_property PULLDOWN true [get_ports SPI_RESET]