diff options
author | UnavailableDev <69792062+UnavailableDev@users.noreply.github.com> | 2023-03-22 10:45:34 +0100 |
---|---|---|
committer | GitHub <noreply@github.com> | 2023-03-22 10:45:34 +0100 |
commit | d32a4942c7e16af5daf71a769906b17cb44de8e1 (patch) | |
tree | 8da86d27d30d841d786a5beca634e3fbaaf00570 /basys3/basys3.srcs/io.xdc | |
parent | 6d82f9e3d165e0200bed2f2784a1183f47b37fa3 (diff) | |
parent | 7f51cd925883bbf958baa289d4d19231667c9eba (diff) |
Merge branch 'lonkaars:dev' into dev
Diffstat (limited to 'basys3/basys3.srcs/io.xdc')
-rw-r--r-- | basys3/basys3.srcs/io.xdc | 12 |
1 files changed, 6 insertions, 6 deletions
diff --git a/basys3/basys3.srcs/io.xdc b/basys3/basys3.srcs/io.xdc index f254cdd..fa1dbd0 100644 --- a/basys3/basys3.srcs/io.xdc +++ b/basys3/basys3.srcs/io.xdc @@ -1,6 +1,6 @@ -set_property PACKAGE_PIN A15 [get_ports clkSPI]
-set_property PACKAGE_PIN C15 [get_ports csSPI]
-set_property PACKAGE_PIN A17 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports dataSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports csSPI]
-set_property IOSTANDARD LVCMOS33 [get_ports clkSPI]
\ No newline at end of file +set_property PACKAGE_PIN A15 [get_ports SPI_CLK] +set_property PACKAGE_PIN C15 [get_ports SPI_CS] +set_property PACKAGE_PIN A17 [get_ports SPI_MOSI] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_MOSI] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_CS] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_CLK] |