aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-04-16 18:28:35 +0200
committerlonkaars <loek@pipeframe.xyz>2023-04-16 18:28:35 +0200
commite73473f5292664427bfeeef0063452e88b066e72 (patch)
tree44efee0615fc1acb77c515c0e2081253413ff19e
parent597de95572721ea51569caeba40bfb816f505400 (diff)
more debugging
-rw-r--r--assets/ppu-pipeline.svg2
-rw-r--r--basys3/basys3.srcs/ppu_pceg.vhd34
-rw-r--r--basys3/basys3.srcs/ppu_pceg_consts.vhd1
-rw-r--r--basys3/basys3.srcs/ppu_sprite_bg.vhd29
-rw-r--r--basys3/basys3.srcs/ppu_sprite_bg_tb.vhd6
-rw-r--r--basys3/basys3.srcs/ppu_sprite_fg.vhd40
-rw-r--r--basys3/basys3.xpr44
-rw-r--r--basys3/makefile9
-rw-r--r--basys3/ppu_tb_behav.wcfg361
-rw-r--r--docs/ppu.drawio1549
-rw-r--r--src/demo.c2
-rw-r--r--src/main.c35
-rw-r--r--src/stm32/setup.c2
-rw-r--r--test/ppu-stm-integration-demo/capture-debug-6.pvc152
14 files changed, 2013 insertions, 253 deletions
diff --git a/assets/ppu-pipeline.svg b/assets/ppu-pipeline.svg
index 31df471..3147c22 100644
--- a/assets/ppu-pipeline.svg
+++ b/assets/ppu-pipeline.svg
@@ -1,3 +1,3 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
-<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="982px" height="232px" viewBox="-0.5 -0.5 982 232" style="background-color: rgb(255, 255, 255);"><defs/><g><path d="M 100 230 L 100 0" fill="none" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 180 230 L 180 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 260 230 L 260 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 340 230 L 340 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 420 230 L 420 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 500 230 L 500 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 580 230 L 580 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 660 230 L 660 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 740 230 L 740 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 820 230 L 820 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 900 230 L 900 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 979.17 230 L 979.17 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 980 20 L 100 20" fill="none" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="stroke"/><rect x="0" y="30" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 45px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_bg</div></div></div></foreignObject><text x="96" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_bg</text></switch></g><rect x="100" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">0</div></div></div></foreignObject><text x="140" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">0</text></switch></g><rect x="180" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">1</div></div></div></foreignObject><text x="220" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">1</text></switch></g><rect x="260" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 261px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">2</div></div></div></foreignObject><text x="300" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">2</text></switch></g><rect x="340" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">3</div></div></div></foreignObject><text x="380" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">3</text></switch></g><rect x="420" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 421px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">4</div></div></div></foreignObject><text x="460" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">4</text></switch></g><rect x="500" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 501px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">5</div></div></div></foreignObject><text x="540" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">5</text></switch></g><rect x="580" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 581px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">6</div></div></div></foreignObject><text x="620" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">6</text></switch></g><rect x="660" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 661px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">7</div></div></div></foreignObject><text x="700" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">7</text></switch></g><path d="M 190 30 L 330 30 L 340 45 L 330 60 L 190 60 L 180 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 45px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">BAM address</div></div></div></foreignObject><text x="260" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">BAM address</text></switch></g><rect x="0" y="70" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 85px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_fg</div></div></div></foreignObject><text x="96" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_fg</text></switch></g><path d="M 190 70 L 330 70 L 340 85 L 330 100 L 190 100 L 180 85 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 85px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">TMM address</div></div></div></foreignObject><text x="260" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">TMM address</text></switch></g><rect x="0" y="0" width="100" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 10px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">clk</div></div></div></foreignObject><text x="96" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">clk</text></switch></g><rect x="0" y="150" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 165px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_pceg</div></div></div></foreignObject><text x="96" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_pceg</text></switch></g><path d="M 750 30 L 810 30 L 820 45 L 810 60 L 750 60 L 740 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 741px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">TMM data</div></div></div></foreignObject><text x="780" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">TMM data</text></switch></g><path d="M 510 30 L 650 30 L 660 45 L 650 60 L 510 60 L 500 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 45px; margin-left: 501px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">TMM address</div></div></div></foreignObject><text x="580" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">TMM address</text></switch></g><rect x="740" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 741px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">8</div></div></div></foreignObject><text x="780" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">8</text></switch></g><rect x="820" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 821px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">9</div></div></div></foreignObject><text x="860" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">9</text></switch></g><path d="M 430 30 L 490 30 L 500 45 L 490 60 L 430 60 L 420 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 421px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">BAM data</div></div></div></foreignObject><text x="460" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">BAM data</text></switch></g><path d="M 430 70 L 490 70 L 500 85 L 490 100 L 430 100 L 420 85 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 421px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">TMM data</div></div></div></foreignObject><text x="460" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">TMM data</text></switch></g><path d="M 110 30 L 170 30 L 180 45 L 170 60 L 110 60 L 100 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="140" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 110 150 L 170 150 L 180 165 L 170 180 L 110 180 L 100 165 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 165px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">input<br />stability</div></div></div></foreignObject><text x="140" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">input...</text></switch></g><path d="M 350 30 L 410 30 L 420 45 L 410 60 L 350 60 L 340 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="380" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 350 70 L 410 70 L 420 85 L 410 100 L 350 100 L 340 85 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="380" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 670 30 L 730 30 L 740 45 L 730 60 L 670 60 L 660 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 661px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="700" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 510 70 L 570 70 L 580 85 L 570 100 L 510 100 L 500 85 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 501px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="540" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 830 30 L 890 30 L 900 45 L 890 60 L 830 60 L 820 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 821px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="860" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 110 110 L 490 110 L 500 125 L 490 140 L 110 140 L 100 125 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 398px; height: 1px; padding-top: 125px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">HIT (inaccurate)</div></div></div></foreignObject><text x="300" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">HIT (inaccurate)</text></switch></g><path d="M 110 70 L 170 70 L 180 85 L 170 100 L 110 100 L 100 85 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="140" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><rect x="0" y="110" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 125px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_fg</div></div></div></foreignObject><text x="96" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_fg</text></switch></g><path d="M 510 110 L 570 110 L 580 125 L 570 140 L 510 140 L 500 125 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 125px; margin-left: 501px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">HIT (real)</div></div></div></foreignObject><text x="540" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">HIT (real)</text></switch></g><rect x="900" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 901px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">10</div></div></div></foreignObject><text x="940" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">10</text></switch></g><path d="M 750 150 L 810 150 L 820 165 L 810 180 L 750 180 L 740 165 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 165px; margin-left: 741px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">pixel done</div></div></div></foreignObject><text x="780" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">pixel done</text></switch></g><path d="M 830 150 L 890 150 L 900 165 L 890 180 L 830 180 L 820 165 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 165px; margin-left: 821px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">pixel ready</div></div></div></foreignObject><text x="860" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">pixel ready</text></switch></g><rect x="0" y="190" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 205px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_dispctl</div></div></div></foreignObject><text x="96" y="209" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_dispctl</text></switch></g><path d="M 830 190 L 970 190 L 980 205 L 970 220 L 830 220 L 820 205 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 205px; margin-left: 821px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">scanline buffer write enable</div></div></div></foreignObject><text x="900" y="209" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">scanline buffer write enab...</text></switch></g></g><switch><g requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"/><a transform="translate(0,-5)" xlink:href="https://www.diagrams.net/doc/faq/svg-export-text-problems" target="_blank"><text text-anchor="middle" font-size="10px" x="50%" y="100%">Text is not SVG - cannot display</text></a></switch></svg> \ No newline at end of file
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="1142px" height="192px" viewBox="-0.5 -0.5 1142 192" style="background-color: rgb(255, 255, 255);"><defs/><g><path d="M 100 190 L 100 0" fill="none" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="stroke"/><path d="M 180 190 L 180 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 260 190 L 260 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 340 190 L 340 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 420 190 L 420 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 500 190 L 500 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 580 190 L 580 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 660 190 L 660 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 740 190 L 740 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 820 190 L 820 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 900 190 L 900 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 979.17 190 L 979.17 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 1059.17 190 L 1059.17 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 1139.17 190 L 1139.17 0" fill="none" stroke="rgb(0, 0, 0)" stroke-opacity="0.5" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="stroke"/><path d="M 1140 20 L 100 20" fill="none" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="stroke"/><rect x="0" y="30" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 45px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_bg</div></div></div></foreignObject><text x="96" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_bg</text></switch></g><rect x="100" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">0</div></div></div></foreignObject><text x="140" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">0</text></switch></g><rect x="180" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">1</div></div></div></foreignObject><text x="220" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">1</text></switch></g><rect x="260" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 261px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">2</div></div></div></foreignObject><text x="300" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">2</text></switch></g><rect x="340" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">3</div></div></div></foreignObject><text x="380" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">3</text></switch></g><rect x="420" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 421px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">4</div></div></div></foreignObject><text x="460" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">4</text></switch></g><rect x="500" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 501px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">5</div></div></div></foreignObject><text x="540" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">5</text></switch></g><rect x="580" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 581px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">6</div></div></div></foreignObject><text x="620" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">6</text></switch></g><rect x="660" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 661px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">7</div></div></div></foreignObject><text x="700" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">7</text></switch></g><path d="M 190 30 L 250 30 L 260 45 L 250 60 L 190 60 L 180 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">BAM address</div></div></div></foreignObject><text x="220" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">BAM address</text></switch></g><rect x="0" y="70" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 85px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_fg</div></div></div></foreignObject><text x="96" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_fg</text></switch></g><path d="M 190 70 L 250 70 L 260 85 L 250 100 L 190 100 L 180 85 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 181px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">TMM address</div></div></div></foreignObject><text x="220" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">TMM address</text></switch></g><rect x="0" y="0" width="100" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 10px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">clk</div></div></div></foreignObject><text x="96" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">clk</text></switch></g><path d="M 670 30 L 730 30 L 740 45 L 730 60 L 670 60 L 660 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 661px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">TMM data</div></div></div></foreignObject><text x="700" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">TMM data</text></switch></g><path d="M 590 30 L 650 30 L 660 45 L 650 60 L 590 60 L 580 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 581px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">TMM address</div></div></div></foreignObject><text x="620" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">TMM address</text></switch></g><rect x="740" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 741px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">8</div></div></div></foreignObject><text x="780" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">8</text></switch></g><rect x="820" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 821px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">9</div></div></div></foreignObject><text x="860" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">9</text></switch></g><path d="M 270 30 L 330 30 L 340 45 L 330 60 L 270 60 L 260 45 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 261px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">BAM data</div></div></div></foreignObject><text x="300" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">BAM data</text></switch></g><path d="M 270 70 L 330 70 L 340 85 L 330 100 L 270 100 L 260 85 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 261px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">TMM data</div></div></div></foreignObject><text x="300" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">TMM data</text></switch></g><path d="M 110 30 L 170 30 L 180 45 L 170 60 L 110 60 L 100 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="140" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 750 30 L 810 30 L 820 45 L 810 60 L 750 60 L 740 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 741px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="780" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 110 110 L 330 110 L 340 125 L 330 140 L 110 140 L 100 125 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 238px; height: 1px; padding-top: 125px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">HIT (inaccurate)</div></div></div></foreignObject><text x="220" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">HIT (inaccurate)</text></switch></g><path d="M 110 70 L 170 70 L 180 85 L 170 100 L 110 100 L 100 85 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 101px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="140" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><rect x="0" y="110" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 125px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_sprite_fg</div></div></div></foreignObject><text x="96" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_sprite_fg</text></switch></g><path d="M 350 110 L 410 110 L 420 125 L 410 140 L 350 140 L 340 125 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 125px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">HIT (real)</div></div></div></foreignObject><text x="380" y="129" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">HIT (real)</text></switch></g><rect x="900" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 901px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">10</div></div></div></foreignObject><text x="940" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">10</text></switch></g><rect x="0" y="150" width="100" height="30" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe flex-end; width: 96px; height: 1px; padding-top: 165px; margin-left: 0px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: right;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">ppu_dispctl</div></div></div></foreignObject><text x="96" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="end">ppu_dispctl</text></switch></g><path d="M 990 150 L 1130 150 L 1140 165 L 1130 180 L 990 180 L 980 165 Z" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-miterlimit="10" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 158px; height: 1px; padding-top: 165px; margin-left: 981px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; text-decoration: underline; white-space: normal; overflow-wrap: normal;">scanline buffer write enable</div></div></div></foreignObject><text x="1060" y="169" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle" text-decoration="underline">scanline buffer write enab...</text></switch></g><path d="M 350 70 L 410 70 L 420 85 L 410 100 L 350 100 L 340 85 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 85px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="380" y="89" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><path d="M 350 30 L 410 30 L 420 45 L 410 60 L 350 60 L 340 45 Z" fill-opacity="0.7" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" stroke-opacity="0.7" stroke-miterlimit="10" stroke-dasharray="3 3" pointer-events="all"/><g transform="translate(-0.5 -0.5)" opacity="0.7"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 45px; margin-left: 341px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">idle</div></div></div></foreignObject><text x="380" y="49" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">idle</text></switch></g><rect x="980" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 981px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">11</div></div></div></foreignObject><text x="1020" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">11</text></switch></g><rect x="1060" y="0" width="80" height="20" fill="none" stroke="none" pointer-events="all"/><g transform="translate(-0.5 -0.5)"><switch><foreignObject pointer-events="none" width="100%" height="100%" requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility" style="overflow: visible; text-align: left;"><div xmlns="http://www.w3.org/1999/xhtml" style="display: flex; align-items: unsafe center; justify-content: unsafe center; width: 78px; height: 1px; padding-top: 10px; margin-left: 1061px;"><div data-drawio-colors="color: rgb(0, 0, 0); " style="box-sizing: border-box; font-size: 0px; text-align: center;"><div style="display: inline-block; font-size: 12px; font-family: Helvetica; color: rgb(0, 0, 0); line-height: 1.2; pointer-events: all; white-space: normal; overflow-wrap: normal;">12</div></div></div></foreignObject><text x="1100" y="14" fill="rgb(0, 0, 0)" font-family="Helvetica" font-size="12px" text-anchor="middle">12</text></switch></g></g><switch><g requiredFeatures="http://www.w3.org/TR/SVG11/feature#Extensibility"/><a transform="translate(0,-5)" xlink:href="https://www.diagrams.net/doc/faq/svg-export-text-problems" target="_blank"><text text-anchor="middle" font-size="10px" x="50%" y="100%">Text is not SVG - cannot display</text></a></switch></svg> \ No newline at end of file
diff --git a/basys3/basys3.srcs/ppu_pceg.vhd b/basys3/basys3.srcs/ppu_pceg.vhd
index f87c60d..a491244 100644
--- a/basys3/basys3.srcs/ppu_pceg.vhd
+++ b/basys3/basys3.srcs/ppu_pceg.vhd
@@ -25,9 +25,16 @@ begin
SPRITE_FG <= PL_FG_IDLE;
DISPCTL_BWEN <= '0';
elsif falling_edge(CLK) then
+ -- increment clock counter
+ CLK_IDX := CLK_IDX + 1;
+ if CLK_IDX = PPU_PCEG_TOTAL_STAGES then
+ CLK_IDX := 0;
+ end if;
+
+ CLK_IDX_T <= CLK_IDX;
+
case CLK_IDX is
when 0 =>
- DISPCTL_BWEN <= '0';
SPRITE_BG <= PL_BG_IDLE;
SPRITE_FG <= PL_FG_IDLE;
SPRITE_FG_HIT <= PL_HIT_INACCURATE;
@@ -38,27 +45,26 @@ begin
SPRITE_BG <= PL_BG_BAM_DATA;
SPRITE_FG <= PL_FG_TMM_DATA;
when 3 =>
- SPRITE_BG <= PL_BG_TMM_ADDR;
+ SPRITE_BG <= PL_BG_IDLE;
SPRITE_FG <= PL_FG_IDLE;
SPRITE_FG_HIT <= PL_HIT_ACCURATE;
- when 4 =>
+ when 4 => null;
+ when 5 => null;
+ when 6 =>
+ SPRITE_BG <= PL_BG_TMM_ADDR;
+ when 7 =>
SPRITE_BG <= PL_BG_TMM_DATA;
- when 5 =>
+ when 8 =>
SPRITE_BG <= PL_BG_IDLE;
- when 6 =>
+ when 9 => null;
+ when 10 => null;
+ when 11 =>
DISPCTL_BWEN <= '1';
- when 7 =>
+ when 12 => null;
+ when 13 =>
DISPCTL_BWEN <= '0';
when others => null;
end case;
-
- -- increment clock counter
- CLK_IDX := CLK_IDX + 1;
- if CLK_IDX = PPU_PCEG_TOTAL_STAGES then
- CLK_IDX := 0;
- end if;
-
- CLK_IDX_T <= CLK_IDX;
end if;
end process;
end Behavioral;
diff --git a/basys3/basys3.srcs/ppu_pceg_consts.vhd b/basys3/basys3.srcs/ppu_pceg_consts.vhd
index 3a9775a..395c386 100644
--- a/basys3/basys3.srcs/ppu_pceg_consts.vhd
+++ b/basys3/basys3.srcs/ppu_pceg_consts.vhd
@@ -1,6 +1,5 @@
library ieee;
use ieee.std_logic_1164.all;
-use work.ppu_consts.all;
package ppu_pceg_consts is
constant PPU_PCEG_TOTAL_STAGES : natural := 16;
diff --git a/basys3/basys3.srcs/ppu_sprite_bg.vhd b/basys3/basys3.srcs/ppu_sprite_bg.vhd
index 9b6643e..a0c4ba8 100644
--- a/basys3/basys3.srcs/ppu_sprite_bg.vhd
+++ b/basys3/basys3.srcs/ppu_sprite_bg.vhd
@@ -110,28 +110,33 @@ begin
begin
if RESET = '1' then
-- reset internal pipeline registers
- R_BAM_ADDR <= (others => '0');
R_BAM_DATA <= (others => '0');
- R_TMM_ADDR <= (others => '0');
R_TMM_DATA <= (others => '0');
elsif falling_edge(CLK) then
- BAM_ADDR_EN <= true when PL_STAGE = PL_BG_BAM_ADDR else false;
- TMM_ADDR_EN <= true when PL_STAGE = PL_BG_TMM_ADDR else false;
- -- R_BAM_ADDR <= T_BAM_ADDR;
- -- R_BAM_DATA <= T_BAM_DATA;
- -- R_TMM_ADDR <= T_TMM_ADDR;
- -- R_TMM_DATA <= T_TMM_DATA;
case PL_STAGE is
- when PL_BG_BAM_ADDR =>
- R_BAM_ADDR <= T_BAM_ADDR;
when PL_BG_BAM_DATA =>
R_BAM_DATA <= T_BAM_DATA;
- when PL_BG_TMM_ADDR =>
- R_TMM_ADDR <= T_TMM_ADDR;
when PL_BG_TMM_DATA =>
R_TMM_DATA <= T_TMM_DATA;
when others => null;
end case;
end if;
end process;
+
+ process(CLK, RESET)
+ begin
+ if RESET = '1' then
+ BAM_ADDR_EN <= false;
+
+ R_BAM_ADDR <= (others => '0');
+ R_TMM_ADDR <= (others => '0');
+ TMM_ADDR_EN <= false;
+ elsif rising_edge(CLK) then
+ BAM_ADDR_EN <= true when PL_STAGE = PL_BG_BAM_ADDR else false;
+ TMM_ADDR_EN <= true when PL_STAGE = PL_BG_TMM_ADDR else false;
+
+ R_BAM_ADDR <= T_BAM_ADDR;
+ R_TMM_ADDR <= T_TMM_ADDR;
+ end if;
+ end process;
end Behavioral;
diff --git a/basys3/basys3.srcs/ppu_sprite_bg_tb.vhd b/basys3/basys3.srcs/ppu_sprite_bg_tb.vhd
index 65da15c..5074103 100644
--- a/basys3/basys3.srcs/ppu_sprite_bg_tb.vhd
+++ b/basys3/basys3.srcs/ppu_sprite_bg_tb.vhd
@@ -6,6 +6,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use unisim.vcomponents.all;
use work.ppu_consts.all;
+use work.ppu_pceg_consts.all;
entity ppu_sprite_bg_tb is
end ppu_sprite_bg_tb;
@@ -13,8 +14,9 @@ end ppu_sprite_bg_tb;
architecture Behavioral of ppu_sprite_bg_tb is
component ppu_sprite_bg port(
-- inputs
- CLK : in std_logic; -- pipeline clock
+ CLK : in std_logic; -- system clock
RESET : in std_logic; -- reset clock counter
+ PL_STAGE : in ppu_sprite_bg_pl_state; -- pipeline stage
OE : in std_logic; -- output enable (of CIDX)
X : in std_logic_vector(PPU_POS_H_WIDTH-1 downto 0); -- current screen pixel x
Y : in std_logic_vector(PPU_POS_V_WIDTH-1 downto 0); -- current screen pixel y
@@ -44,10 +46,12 @@ architecture Behavioral of ppu_sprite_bg_tb is
signal TMM_ADDR : std_logic_vector(PPU_TMM_ADDR_WIDTH-1 downto 0);
signal TMM_DATA : std_logic_vector(PPU_TMM_DATA_WIDTH-1 downto 0) := (others => '0');
signal CIDX : std_logic_vector(PPU_PALETTE_CIDX_WIDTH-1 downto 0); -- output color
+ signal PL_STAGE : ppu_sprite_bg_pl_state;
begin
uut : ppu_sprite_bg port map(
CLK => CLK,
RESET => RESET,
+ PL_STAGE => PL_BG_IDLE,
OE => OE,
X => X,
Y => Y,
diff --git a/basys3/basys3.srcs/ppu_sprite_fg.vhd b/basys3/basys3.srcs/ppu_sprite_fg.vhd
index 9aabd88..94fecaa 100644
--- a/basys3/basys3.srcs/ppu_sprite_fg.vhd
+++ b/basys3/basys3.srcs/ppu_sprite_fg.vhd
@@ -82,10 +82,8 @@ architecture Behavioral of ppu_sprite_fg is
signal TILEMAP_WORD : unsigned(PPU_TMM_ADDR_WIDTH-1 downto 0) := (others => '0');
signal TILEMAP_WORD_OFFSET : integer := 0; -- word offset from tile start address in TMM
signal TMM_DATA_COL_IDX : std_logic_vector(PPU_PALETTE_COLOR_WIDTH-1 downto 0); -- color of palette
-
- signal PL_STAGE_NOW : ppu_sprite_fg_pl_state;
- signal PL_HIT_NOW : ppu_sprite_fg_hit_pl_state;
+ signal TMM_ADDR_EN : boolean := false;
begin
-- FAM memory
FAM : component er_ram
@@ -137,10 +135,10 @@ begin
inaccurate_occlusion_shims: if IDX >= PPU_ACCURATE_FG_SPRITE_COUNT generate
-- state machine for synchronizing pipeline stages
begin
- HIT <= (SPRITE_ACTIVE) when PL_HIT_NOW = PL_HIT_INACCURATE else
- (SPRITE_ACTIVE and (or TMM_DATA_COL_IDX)) when PL_HIT_NOW = PL_HIT_ACCURATE else '0';
+ HIT <= (SPRITE_ACTIVE) when PL_HIT = PL_HIT_INACCURATE else
+ (SPRITE_ACTIVE and (or TMM_DATA_COL_IDX)) when PL_HIT = PL_HIT_ACCURATE else '0';
-- only fetch if OE is high, and during the second pipeline stage
- TMM_ADDR <= R_TMM_ADDR when OE = '1' and PL_STAGE_NOW = PL_FG_TMM_ADDR else (others => 'Z');
+ TMM_ADDR <= R_TMM_ADDR when OE = '1' and TMM_ADDR_EN else (others => 'Z');
T_TMM_ADDR <= std_logic_vector(TILEMAP_WORD + to_unsigned(TILEMAP_WORD_OFFSET, PPU_TMM_ADDR_WIDTH)); -- TMM address
-- TMM DATA
@@ -152,28 +150,15 @@ begin
R_TMM_DATA(14 downto 12) when 4,
(others => '0') when others;
- -- rising edge clock process (buffer pipeline stage)
- process(CLK, RESET)
- begin
- if rising_edge(CLK) then
- PL_HIT_NOW <= PL_HIT;
- PL_STAGE_NOW <= PL_STAGE;
- end if;
- end process;
-
- -- falling edge clock process (read buffered pipeline stage)
+ -- rising edge process (read/write)
process(CLK, RESET)
begin
if RESET = '1' then
- -- reset internal pipeline registers
- R_TMM_ADDR <= (others => '0');
R_TMM_DATA <= (others => '0');
elsif OE = '0' then
null; -- don't read/write if current sprite is not the top sprite
elsif falling_edge(CLK) then
- case PL_STAGE_NOW is
- when PL_FG_TMM_ADDR =>
- R_TMM_ADDR <= T_TMM_ADDR;
+ case PL_STAGE is
when PL_FG_TMM_DATA =>
R_TMM_DATA <= T_TMM_DATA;
when others => null;
@@ -181,6 +166,19 @@ begin
end if;
end process;
end generate;
+ -- falling edge process (TMM ADDR master control)
+ process(CLK, RESET)
+ begin
+ if RESET = '1' then
+ TMM_ADDR_EN <= false;
+
+ R_TMM_ADDR <= (others => '0');
+ elsif rising_edge(CLK) then
+ TMM_ADDR_EN <= true when PL_STAGE = PL_FG_TMM_ADDR else false;
+
+ R_TMM_ADDR <= T_TMM_ADDR;
+ end if;
+ end process;
accurate_occlusion_logic: if IDX < PPU_ACCURATE_FG_SPRITE_COUNT generate
-- TMM cache lines
diff --git a/basys3/basys3.xpr b/basys3/basys3.xpr
index 0bc96a1..45547d3 100644
--- a/basys3/basys3.xpr
+++ b/basys3/basys3.xpr
@@ -44,7 +44,6 @@
<Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
<Option Name="BoardPart" Val="digilentinc.com:basys3:part0:1.2"/>
<Option Name="BoardPartRepoPaths" Val="$PPRDIR/../../../../.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store"/>
- <Option Name="SourceMgmtMode" Val="DisplayOnly"/>
<Option Name="ActiveSimSet" Val="sim_1"/>
<Option Name="DefaultLib" Val="xil_defaultlib"/>
<Option Name="ProjectType" Val="Default"/>
@@ -60,20 +59,20 @@
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
<Option Name="EnableBDX" Val="FALSE"/>
<Option Name="DSABoardId" Val="basys3"/>
- <Option Name="WTXSimLaunchSim" Val="213"/>
+ <Option Name="WTXSimLaunchSim" Val="249"/>
<Option Name="WTModelSimLaunchSim" Val="0"/>
<Option Name="WTQuestaLaunchSim" Val="0"/>
<Option Name="WTIesLaunchSim" Val="0"/>
<Option Name="WTVcsLaunchSim" Val="0"/>
<Option Name="WTRivieraLaunchSim" Val="0"/>
<Option Name="WTActivehdlLaunchSim" Val="0"/>
- <Option Name="WTXSimExportSim" Val="11"/>
- <Option Name="WTModelSimExportSim" Val="11"/>
- <Option Name="WTQuestaExportSim" Val="11"/>
+ <Option Name="WTXSimExportSim" Val="12"/>
+ <Option Name="WTModelSimExportSim" Val="12"/>
+ <Option Name="WTQuestaExportSim" Val="12"/>
<Option Name="WTIesExportSim" Val="0"/>
- <Option Name="WTVcsExportSim" Val="11"/>
- <Option Name="WTRivieraExportSim" Val="11"/>
- <Option Name="WTActivehdlExportSim" Val="11"/>
+ <Option Name="WTVcsExportSim" Val="12"/>
+ <Option Name="WTRivieraExportSim" Val="12"/>
+ <Option Name="WTActivehdlExportSim" Val="12"/>
<Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
<Option Name="XSimRadix" Val="hex"/>
<Option Name="XSimTimeUnit" Val="ns"/>
@@ -91,15 +90,17 @@
<FileSets Version="1" Minor="31">
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
<Filter Type="Srcs"/>
- <File Path="$PSRCDIR/ppu_consts.vhd">
+ <File Path="$PSRCDIR/ppu_pceg_consts.vhd">
<FileInfo SFType="VHDL2008">
+ <Attr Name="Library" Val="work"/>
<Attr Name="IsGlobalInclude" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
- <File Path="$PSRCDIR/ppu_pceg_consts.vhd">
+ <File Path="$PSRCDIR/ppu_consts.vhd">
<FileInfo SFType="VHDL2008">
+ <Attr Name="Library" Val="work"/>
<Attr Name="IsGlobalInclude" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
@@ -211,32 +212,43 @@
</FileSet>
<FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
<Filter Type="Srcs"/>
- <File Path="$PSRCDIR/ppu_comp_tb.vhd">
+ <File Path="$PSRCDIR/ppu_tb.vhd">
<FileInfo SFType="VHDL2008">
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
- <File Path="$PSRCDIR/spi_tb.vhd">
- <FileInfo>
+ <File Path="$PSRCDIR/ppu_sprite_bg_tb.vhd">
+ <FileInfo SFType="VHDL2008">
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
- <File Path="$PSRCDIR/ppu_tb.vhd">
+ <File Path="$PSRCDIR/ppu_comp_tb.vhd">
<FileInfo SFType="VHDL2008">
+ <Attr Name="UserDisabled" Val="1"/>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="simulation"/>
+ </FileInfo>
+ </File>
+ <File Path="$PSRCDIR/spi_tb.vhd">
+ <FileInfo>
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/ppu_pceg_tb.vhd">
<FileInfo>
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/ppu_addr_dec_tb.vhd">
<FileInfo SFType="VHDL2008">
+ <Attr Name="UserDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
@@ -248,15 +260,15 @@
<Option Name="TransportPathDelay" Val="0"/>
<Option Name="TransportIntDelay" Val="0"/>
<Option Name="SelectedSimModel" Val="rtl"/>
+ <Option Name="SimMode" Val="post-implementation"/>
<Option Name="PamDesignTestbench" Val=""/>
<Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
<Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
<Option Name="PamPseudoTop" Val="pseudo_tb"/>
<Option Name="SrcSet" Val="sources_1"/>
<Option Name="Incremental" Val="0"/>
- <Option Name="xsim.simulate.runtime" Val="18 ms"/>
+ <Option Name="xsim.simulate.runtime" Val="1500 us"/>
<Option Name="xsim.simulate.log_all_signals" Val="true"/>
- <Option Name="NLNetlistMode" Val="funcsim"/>
</Config>
</FileSet>
<FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
diff --git a/basys3/makefile b/basys3/makefile
new file mode 100644
index 0000000..af07e9d
--- /dev/null
+++ b/basys3/makefile
@@ -0,0 +1,9 @@
+OFL := ~/.conda/envs/xc7/bin/openFPGALoader
+
+TOP := basys3.runs/impl_4/top.bit
+BOARD := basys3
+
+program:
+ $(OFL) -b $(BOARD) $(TOP)
+
+.PHONY: program
diff --git a/basys3/ppu_tb_behav.wcfg b/basys3/ppu_tb_behav.wcfg
index df141cd..65f3f83 100644
--- a/basys3/ppu_tb_behav.wcfg
+++ b/basys3/ppu_tb_behav.wcfg
@@ -13,13 +13,13 @@
</db_ref>
</db_ref_list>
<zoom_setting>
- <ZoomStartTime time="929,912.593 ns"></ZoomStartTime>
- <ZoomEndTime time="931,046.594 ns"></ZoomEndTime>
- <Cursor1Time time="930,263.593 ns"></Cursor1Time>
+ <ZoomStartTime time="930,315.700 ns"></ZoomStartTime>
+ <ZoomEndTime time="930,719.701 ns"></ZoomEndTime>
+ <Cursor1Time time="930,414.700 ns"></Cursor1Time>
</zoom_setting>
<column_width_setting>
<NameColumnWidth column_width="257"></NameColumnWidth>
- <ValueColumnWidth column_width="186"></ValueColumnWidth>
+ <ValueColumnWidth column_width="174"></ValueColumnWidth>
</column_width_setting>
<WVObjectSize size="10" />
<wvobject type="group" fp_name="group136">
@@ -43,7 +43,6 @@
<wvobject type="group" fp_name="group150">
<obj_property name="label">ppu top</obj_property>
<obj_property name="DisplayName">label</obj_property>
- <obj_property name="isExpanded"></obj_property>
<wvobject type="logic" fp_name="/ppu_tb/uut/WEN">
<obj_property name="ElementShortName">WEN</obj_property>
<obj_property name="ObjectShortName">WEN</obj_property>
@@ -176,7 +175,6 @@
<wvobject type="group" fp_name="group149">
<obj_property name="label">background sprite</obj_property>
<obj_property name="DisplayName">label</obj_property>
- <obj_property name="isExpanded"></obj_property>
<wvobject type="logic" fp_name="/ppu_tb/uut/background_sprite/CLK">
<obj_property name="ElementShortName">CLK</obj_property>
<obj_property name="ObjectShortName">CLK</obj_property>
@@ -189,114 +187,136 @@
<obj_property name="ElementShortName">PL_STAGE</obj_property>
<obj_property name="ObjectShortName">PL_STAGE</obj_property>
</wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/X">
- <obj_property name="ElementShortName">X[8:0]</obj_property>
- <obj_property name="ObjectShortName">X[8:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/Y">
- <obj_property name="ElementShortName">Y[7:0]</obj_property>
- <obj_property name="ObjectShortName">Y[7:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BG_SHIFT_X">
- <obj_property name="ElementShortName">BG_SHIFT_X[8:0]</obj_property>
- <obj_property name="ObjectShortName">BG_SHIFT_X[8:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BG_SHIFT_Y">
- <obj_property name="ElementShortName">BG_SHIFT_Y[7:0]</obj_property>
- <obj_property name="ObjectShortName">BG_SHIFT_Y[7:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BAM_ADDR">
- <obj_property name="ElementShortName">BAM_ADDR[10:0]</obj_property>
- <obj_property name="ObjectShortName">BAM_ADDR[10:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BAM_DATA">
- <obj_property name="ElementShortName">BAM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">BAM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TMM_ADDR">
- <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TMM_DATA">
- <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
- <obj_property name="Radix">HEXRADIX</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_BAM_ADDR">
- <obj_property name="ElementShortName">T_BAM_ADDR[10:0]</obj_property>
- <obj_property name="ObjectShortName">T_BAM_ADDR[10:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_BAM_ADDR">
- <obj_property name="ElementShortName">R_BAM_ADDR[10:0]</obj_property>
- <obj_property name="ObjectShortName">R_BAM_ADDR[10:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_BAM_DATA">
- <obj_property name="ElementShortName">T_BAM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">T_BAM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_BAM_DATA">
- <obj_property name="ElementShortName">R_BAM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">R_BAM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_TMM_ADDR">
- <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_TMM_ADDR">
- <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_TMM_DATA">
- <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_TMM_DATA">
- <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_ABS_X">
- <obj_property name="ElementShortName">PIXEL_ABS_X</obj_property>
- <obj_property name="ObjectShortName">PIXEL_ABS_X</obj_property>
- </wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_ABS_Y">
- <obj_property name="ElementShortName">PIXEL_ABS_Y</obj_property>
- <obj_property name="ObjectShortName">PIXEL_ABS_Y</obj_property>
- </wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILE_IDX_X">
- <obj_property name="ElementShortName">TILE_IDX_X</obj_property>
- <obj_property name="ObjectShortName">TILE_IDX_X</obj_property>
- </wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILE_IDX_Y">
- <obj_property name="ElementShortName">TILE_IDX_Y</obj_property>
- <obj_property name="ObjectShortName">TILE_IDX_Y</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TILE_PIDX_X">
- <obj_property name="ElementShortName">TILE_PIDX_X[3:0]</obj_property>
- <obj_property name="ObjectShortName">TILE_PIDX_X[3:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX_X">
- <obj_property name="ElementShortName">TRANS_TILE_PIDX_X[3:0]</obj_property>
- <obj_property name="ObjectShortName">TRANS_TILE_PIDX_X[3:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TILE_PIDX_Y">
- <obj_property name="ElementShortName">TILE_PIDX_Y[3:0]</obj_property>
- <obj_property name="ObjectShortName">TILE_PIDX_Y[3:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX_Y">
- <obj_property name="ElementShortName">TRANS_TILE_PIDX_Y[3:0]</obj_property>
- <obj_property name="ObjectShortName">TRANS_TILE_PIDX_Y[3:0]</obj_property>
- </wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX">
- <obj_property name="ElementShortName">TRANS_TILE_PIDX</obj_property>
- <obj_property name="ObjectShortName">TRANS_TILE_PIDX</obj_property>
+ <wvobject type="group" fp_name="group518">
+ <obj_property name="label">BAM</obj_property>
+ <obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_BAM_ADDR">
+ <obj_property name="ElementShortName">T_BAM_ADDR[10:0]</obj_property>
+ <obj_property name="ObjectShortName">T_BAM_ADDR[10:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_BAM_ADDR">
+ <obj_property name="ElementShortName">R_BAM_ADDR[10:0]</obj_property>
+ <obj_property name="ObjectShortName">R_BAM_ADDR[10:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BAM_ADDR">
+ <obj_property name="ElementShortName">BAM_ADDR[10:0]</obj_property>
+ <obj_property name="ObjectShortName">BAM_ADDR[10:0]</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/BAM_ADDR_EN">
+ <obj_property name="ElementShortName">BAM_ADDR_EN</obj_property>
+ <obj_property name="ObjectShortName">BAM_ADDR_EN</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BAM_DATA">
+ <obj_property name="ElementShortName">BAM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">BAM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_BAM_DATA">
+ <obj_property name="ElementShortName">T_BAM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">T_BAM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_BAM_DATA">
+ <obj_property name="ElementShortName">R_BAM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">R_BAM_DATA[14:0]</obj_property>
+ </wvobject>
</wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILEMAP_WORD_OFFSET">
- <obj_property name="ElementShortName">TILEMAP_WORD_OFFSET</obj_property>
- <obj_property name="ObjectShortName">TILEMAP_WORD_OFFSET</obj_property>
+ <wvobject type="group" fp_name="group519">
+ <obj_property name="label">TMM</obj_property>
+ <obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_TMM_ADDR">
+ <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_TMM_ADDR">
+ <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TMM_ADDR">
+ <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TMM_ADDR_EN">
+ <obj_property name="ElementShortName">TMM_ADDR_EN</obj_property>
+ <obj_property name="ObjectShortName">TMM_ADDR_EN</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TMM_DATA">
+ <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
+ <obj_property name="Radix">HEXRADIX</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_TMM_DATA">
+ <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/R_TMM_DATA">
+ <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
+ </wvobject>
</wvobject>
- <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_BIT_OFFSET">
- <obj_property name="ElementShortName">PIXEL_BIT_OFFSET</obj_property>
- <obj_property name="ObjectShortName">PIXEL_BIT_OFFSET</obj_property>
+ <wvobject type="group" fp_name="group520">
+ <obj_property name="label">PIXEL</obj_property>
+ <obj_property name="DisplayName">label</obj_property>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/X">
+ <obj_property name="ElementShortName">X[8:0]</obj_property>
+ <obj_property name="ObjectShortName">X[8:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/Y">
+ <obj_property name="ElementShortName">Y[7:0]</obj_property>
+ <obj_property name="ObjectShortName">Y[7:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BG_SHIFT_X">
+ <obj_property name="ElementShortName">BG_SHIFT_X[8:0]</obj_property>
+ <obj_property name="ObjectShortName">BG_SHIFT_X[8:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/BG_SHIFT_Y">
+ <obj_property name="ElementShortName">BG_SHIFT_Y[7:0]</obj_property>
+ <obj_property name="ObjectShortName">BG_SHIFT_Y[7:0]</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_ABS_X">
+ <obj_property name="ElementShortName">PIXEL_ABS_X</obj_property>
+ <obj_property name="ObjectShortName">PIXEL_ABS_X</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_ABS_Y">
+ <obj_property name="ElementShortName">PIXEL_ABS_Y</obj_property>
+ <obj_property name="ObjectShortName">PIXEL_ABS_Y</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILE_IDX_X">
+ <obj_property name="ElementShortName">TILE_IDX_X</obj_property>
+ <obj_property name="ObjectShortName">TILE_IDX_X</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILE_IDX_Y">
+ <obj_property name="ElementShortName">TILE_IDX_Y</obj_property>
+ <obj_property name="ObjectShortName">TILE_IDX_Y</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TILE_PIDX_X">
+ <obj_property name="ElementShortName">TILE_PIDX_X[3:0]</obj_property>
+ <obj_property name="ObjectShortName">TILE_PIDX_X[3:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX_X">
+ <obj_property name="ElementShortName">TRANS_TILE_PIDX_X[3:0]</obj_property>
+ <obj_property name="ObjectShortName">TRANS_TILE_PIDX_X[3:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TILE_PIDX_Y">
+ <obj_property name="ElementShortName">TILE_PIDX_Y[3:0]</obj_property>
+ <obj_property name="ObjectShortName">TILE_PIDX_Y[3:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX_Y">
+ <obj_property name="ElementShortName">TRANS_TILE_PIDX_Y[3:0]</obj_property>
+ <obj_property name="ObjectShortName">TRANS_TILE_PIDX_Y[3:0]</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TRANS_TILE_PIDX">
+ <obj_property name="ElementShortName">TRANS_TILE_PIDX</obj_property>
+ <obj_property name="ObjectShortName">TRANS_TILE_PIDX</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/TILEMAP_WORD_OFFSET">
+ <obj_property name="ElementShortName">TILEMAP_WORD_OFFSET</obj_property>
+ <obj_property name="ObjectShortName">TILEMAP_WORD_OFFSET</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/background_sprite/PIXEL_BIT_OFFSET">
+ <obj_property name="ElementShortName">PIXEL_BIT_OFFSET</obj_property>
+ <obj_property name="ObjectShortName">PIXEL_BIT_OFFSET</obj_property>
+ </wvobject>
</wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/TMM_DATA_PAL_IDX">
<obj_property name="ElementShortName">TMM_DATA_PAL_IDX[2:0]</obj_property>
@@ -309,18 +329,15 @@
<wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/CIDX">
<obj_property name="ElementShortName">CIDX[5:0]</obj_property>
<obj_property name="ObjectShortName">CIDX[5:0]</obj_property>
- <obj_property name="isExpanded"></obj_property>
</wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/background_sprite/T_CIDX">
<obj_property name="ElementShortName">T_CIDX[5:0]</obj_property>
<obj_property name="ObjectShortName">T_CIDX[5:0]</obj_property>
- <obj_property name="isExpanded"></obj_property>
</wvobject>
</wvobject>
<wvobject type="group" fp_name="group142">
<obj_property name="label">display coordinates</obj_property>
<obj_property name="DisplayName">label</obj_property>
- <obj_property name="isExpanded"></obj_property>
<wvobject type="array" fp_name="/ppu_tb/uut/display_controller/T_POS_X">
<obj_property name="ElementShortName">T_POS_X[8:0]</obj_property>
<obj_property name="ObjectShortName">T_POS_X[8:0]</obj_property>
@@ -462,6 +479,7 @@
<wvobject type="group" fp_name="group165">
<obj_property name="label">sprite[0]</obj_property>
<obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
<wvobject type="logic" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/CLK">
<obj_property name="ElementShortName">CLK</obj_property>
<obj_property name="ObjectShortName">CLK</obj_property>
@@ -482,6 +500,35 @@
<obj_property name="ElementShortName">OE</obj_property>
<obj_property name="ObjectShortName">OE</obj_property>
</wvobject>
+ <wvobject type="group" fp_name="group1008">
+ <obj_property name="label">TMM</obj_property>
+ <obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/TMM_ADDR">
+ <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/TMM_DATA">
+ <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/T_TMM_ADDR">
+ <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/R_TMM_ADDR">
+ <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/T_TMM_DATA">
+ <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/R_TMM_DATA">
+ <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ </wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/X">
<obj_property name="ElementShortName">X[8:0]</obj_property>
<obj_property name="ObjectShortName">X[8:0]</obj_property>
@@ -510,39 +557,14 @@
<obj_property name="ElementShortName">FAM_DATA[15:0]</obj_property>
<obj_property name="ObjectShortName">FAM_DATA[15:0]</obj_property>
</wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/TMM_ADDR">
- <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/TMM_DATA">
- <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
- </wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/CIDX">
<obj_property name="ElementShortName">CIDX[5:0]</obj_property>
<obj_property name="ObjectShortName">CIDX[5:0]</obj_property>
- <obj_property name="isExpanded"></obj_property>
</wvobject>
<wvobject type="logic" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/HIT">
<obj_property name="ElementShortName">HIT</obj_property>
<obj_property name="ObjectShortName">HIT</obj_property>
</wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/T_TMM_ADDR">
- <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/R_TMM_ADDR">
- <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/T_TMM_DATA">
- <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/R_TMM_DATA">
- <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
- </wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(0)\/foreground_sprite/T_CIDX">
<obj_property name="ElementShortName">T_CIDX[5:0]</obj_property>
<obj_property name="ObjectShortName">T_CIDX[5:0]</obj_property>
@@ -607,6 +629,7 @@
<wvobject type="group" fp_name="group166">
<obj_property name="label">sprite[16]</obj_property>
<obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
<wvobject type="logic" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/CLK">
<obj_property name="ElementShortName">CLK</obj_property>
<obj_property name="ObjectShortName">CLK</obj_property>
@@ -631,6 +654,39 @@
<obj_property name="ElementShortName">HIT</obj_property>
<obj_property name="ObjectShortName">HIT</obj_property>
</wvobject>
+ <wvobject type="group" fp_name="group1018">
+ <obj_property name="label">TMM</obj_property>
+ <obj_property name="DisplayName">label</obj_property>
+ <obj_property name="isExpanded"></obj_property>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/T_TMM_ADDR">
+ <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/R_TMM_ADDR">
+ <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="other" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/TMM_ADDR_EN">
+ <obj_property name="ElementShortName">TMM_ADDR_EN</obj_property>
+ <obj_property name="ObjectShortName">TMM_ADDR_EN</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/TMM_ADDR">
+ <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/TMM_DATA">
+ <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/T_TMM_DATA">
+ <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/R_TMM_DATA">
+ <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
+ <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
+ </wvobject>
+ </wvobject>
<wvobject type="logic" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/SPRITE_ACTIVE">
<obj_property name="ElementShortName">SPRITE_ACTIVE</obj_property>
<obj_property name="ObjectShortName">SPRITE_ACTIVE</obj_property>
@@ -663,34 +719,9 @@
<obj_property name="ElementShortName">FAM_DATA[15:0]</obj_property>
<obj_property name="ObjectShortName">FAM_DATA[15:0]</obj_property>
</wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/TMM_ADDR">
- <obj_property name="ElementShortName">TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/TMM_DATA">
- <obj_property name="ElementShortName">TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">TMM_DATA[14:0]</obj_property>
- </wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/CIDX">
<obj_property name="ElementShortName">CIDX[5:0]</obj_property>
<obj_property name="ObjectShortName">CIDX[5:0]</obj_property>
- <obj_property name="isExpanded"></obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/R_TMM_ADDR">
- <obj_property name="ElementShortName">R_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/R_TMM_DATA">
- <obj_property name="ElementShortName">R_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">R_TMM_DATA[14:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/T_TMM_ADDR">
- <obj_property name="ElementShortName">T_TMM_ADDR[15:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_ADDR[15:0]</obj_property>
- </wvobject>
- <wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/T_TMM_DATA">
- <obj_property name="ElementShortName">T_TMM_DATA[14:0]</obj_property>
- <obj_property name="ObjectShortName">T_TMM_DATA[14:0]</obj_property>
</wvobject>
<wvobject type="array" fp_name="/ppu_tb/uut/\foreground_sprites(16)\/foreground_sprite/T_CIDX">
<obj_property name="ElementShortName">T_CIDX[5:0]</obj_property>
diff --git a/docs/ppu.drawio b/docs/ppu.drawio
index d2ffad0..a246466 100644
--- a/docs/ppu.drawio
+++ b/docs/ppu.drawio
@@ -1 +1,1548 @@
-<mxfile host="Electron" modified="2023-04-07T21:01:09.977Z" agent="5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/20.8.16 Chrome/106.0.5249.199 Electron/21.4.0 Safari/537.36" etag="Wzm5BC_9a6XfbXU-20rC" version="20.8.16" type="device" pages="5"><diagram name="level-1" id="DBE48RpXtx8JnoEt3ekA">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</diagram><diagram id="MdQQJuunD4ehtDpxKxax" name="level-2">7Vxbe6JIEP01eXQ+7uqjcXPZL8kkM0km+9pCi0wamm0aL/Prt5FGLk0QjChunJdIUdhQdapOdVHOhTp2lzcE+LMHbEF0oUjW8kL960JRZLk/YH8iySqW9BU1FtjEsbhSKnh2/kAulLg0dCwY5BQpxog6fl5oYs+DJs3JACF4kVebYpRf1Qc2FATPJkCi9M2x6CyWDnQpld9Cx54lK8sSP+OCRJkLghmw8CIjUq8u1DHBmMaf3OUYosh4iV3i664/OLu5MQI9WucCfTwdzm+1+Z/Hu/lKDxG5/X3fM/rx18wBCvkTBz5xKIwu9KaY3zpdJfaAFjMPP8SEzrCNPYCuUuklwaFnwWhRiR0F75CaM36QXnCPsc+EMhP+hpSuuONBSDETzaiL+NmN1dZfRgGho8irTOBhDyayawchrjOHhDrMfyPk2B6TuY5lre8r8IHpePYlphS77MSAyUQbcrMGOCQmrDCcloAREBvSCkWd4z0yXGYJ7qMbiF1IyYopEIgAdeZ53AEOX3ujt7n0CTvsplMVPJ0G7E4yEGAfMiukojUwGoCkrwsgeXl42AEazJl+dHaK4JK78WBwmSBsvpfgBXpWAVFMkjm/O0QSz2+FiCa1AhH2WGCVUfAjwAQlCOLJWk2SGk/V8qCQUJrpsw/xHRwcr0lsZvB6Ccx3ew21yHOVGS4PyMWMqT6z1BGdXTCOy+PtQ3REaQguK73Jzypa3ozJ4SJDN1w0yzBNIitzf86gTa1niNF+poRalFA33vV+zXjnCJG+cZd0mCLUPVHEkaFSxlAWCGbrO6oM+Cw8vpPV34rnuX0zZJBb6iG8s3p7R0e7bCDLzdhgi/5HbJBwST9/dU8ppLfYvPyqFihDxO81JrCjlCHrO1GG1hZlJM7LWM9GeALQ+kERC9HYdBZ7vJNLCZ8gBK1uAThoJeQPWnNpAgTG2PVx4FBMjh4wxRrrkBFD/u3rVjAEsvWo/lg++tri7r4nCyb5P8eBXjcO2tkrN6U+xSik1y3Up3xKvdi2KfLqsEo9T6s7BHMpOpUzOiu2lWd0ZrQHh0enuD/9dTOKPM12d1HJ8bWhO7z7cRO+fn8bTaX7y1er9/rLe+u1g1yxipfyaNClAn/GNypU8Y07VR+s82Gnqlr/iJ0qEcvU8SJT5CEt2dCDBHSxlNKkeqWU0drm42vVUoO6bKXvO+Y/5yVFQDqxJxvB2YOH8ODB89tA7MQ/je538HYX3xwdAgIdeTNUKDlVbQvfVut/uiysNGoWa5veE8L4PfQ7R56qUo88W+vcGcOvlHrrv5HVukWeQwHal6NTewG/fyKV6nqzGxt3tRD8xpYkWqneTg5Nck8GaKPXf04MaC3wdd1hn47ytbxtf1yt3w7WdPGV23Pylo25yILd2+vKxrHpWqxxfGcZj1wCCr4Ul/ePxuW7tW2LjdWmndWq1upBRz3EN33XJ1eMtMARJbVl1RzIkeE4bFSLVGo3He2Qa3aF90Y04oxz14imONBxdKLpG4LNziO/25OAcWKFolYI7G1DXlv02ykUDbGJ+OIg6LI4VCQXupjj/ZgBrBen30oCWFYOGsFiqXjuGdTvGRjdoGnNaMTT1eothae4j8tN2wNKiTMJ13Tb0WgtmQZrLVhLRxpEEwYmgdCLHjQaoXOwJxiNPSstxBEl+B2OMcIkjZ0pC5uCCPA5eJNZkBU/FQPyZa7IOwuBCUSpv5OVLDgFIaJ7qo6KEy/9En9JJf4qDhHvLbkmQMi+a3h6ZYKfUYqVJmHwOYwXHULxWgET5w/2KEjyoOUQaK7Bwb4EBjTjWxJbIfmJw8s6FUup4Ce3UuVPHuq7SJO2RpRa4iB1Hx6q2mWdHbTMO6SZf+R9TG1UTSRl/OPFNHpCoy8bGtmyS9PbMqIiGPELTMIdc8Ct2JQzWhpwK66jD5s1Cwv6zZuFJSN0w2/D7L/8esU8sfPPddhh+pP4WD39jwXUq/8A</diagram><diagram id="lj51MlK96fuJFRP7cw84" name="level-3">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</diagram><diagram id="1ySgP4OewCsUoPnmkRD4" name="display">7VhNc5swEP01nkkP6YDxV4/BdpNDPeOMk9Q5yiCDasEyQjbQX98ViGAMdpzJR5uZXjLSk1arfW93UdyxxkF6LUjkz8ClvNM13LRjTTrdbn/Yw78KyApgOOwWgCeYW0BmBSzYb6pBQ6Nb5tK4tlECcMmiOuhAGFJH1jAiBCT1bWvgda8R8WgDWDiEN9GfzJV+gY76RoXfUOb5pWfT0CsBKTdrIPaJC8keZE071lgAyGIUpGPKFXclL4Xd9yOrTxcTNJTnGGTk5vF+Nlkk6fVc3s9k8HBNLrUYO8K3OmB9WZmVDHgCtlHTmfa/o0LStE0KsipPqKLFLKEQUCky3JdUfFqWJsnf47Jbkky0ht6TbRUmDnSk7VFPe5u1se07sPy26MWjm9u7wW1L1DZxNirQ0FUBkHCHPrsDjjexVwJHnhpdDHpG2hsZXxoc5ZZUuTRwX+IzSRcRcdRqgmWBmC8DvOLExKGijGGGXXHmhYitQEoIcCFGExZ6aps6psn5SQkPhWgSXq5qWrP6dF+ObpscvfeSw2zR42qGwAV6HLfQjaUU5dySLAKm2LHjDZWOrwVYM84XensMXDm346K1DHCY21Ax3SGxsRYlx/LA+nanP9GHjIGDQDCEEI1tQfEUndfKjwBJ5N48ooIhJVSZOFT5mFeIHYckuoN5ceHcqUtiP8+ak2lBNMDpWkXKyYryOcRMMlCwKCR6sv9xsB4w11U33Euvy+F7pNdeArXmzxukT+sNzUb2nNvDXhTw+Y2ttDootEGz0MxhC1Gm9W6F1mBqPr9H4IHRJAIhW1oetoIUK/+VLa+llmq5f6Y0x745r2h15qBNgbfI1XYFrIYEsSMoDf93u3+i252fYR/Q7VozqJlAV/dLBB4beUNdfMnqKeUrSKYVYNfLF2vfBw9C5BMg0hL9olJm+kVOthLqJY08imyp7L/2y+mjPi6fTNLaLNOzoy/JGLbCoadqR1MqifCofL7NqfhPSigoJ5Lt6i/9ty/5ZtctFFv+NcVqelXyHVEsvwmOy5J7lYijT6nh6Pknxou+h7VW2NJ6YylgQw/Ao6Sf0a1OfAA/9qlvfHYm06NMtv7XZL6cSZxWvwrka3s/rVjTPw==</diagram><diagram id="xwm_nTvbWLU7bshfNvv3" name="pipeline">7Vxbe6I4GP41Ps/uRffhoIKXVTvt9LBzsDPT7k2fCAEyRWBDrNhfv0EDAonOTsuhBeeiAx+QxPf9zkR76mQRnWMQODe+Cd2eIplRT532FEWX+/RvLFhvBZqmbAU2RuZWJO8EM/QMmVBi0iUyYZi7kfi+S1CQFxq+50GD5GQAY3+Vv83y3fysAbAhJ5gZwOWlP5BJHPaxBtJOfgGR7SQzyxK7sgDJzUwQOsD0VxmRetZTJ9j3yfZoEU2gG2OX4LJ97sOeq+nCMPTI/3nA+zF5sLHqz8L15EIfXTw7xv1Jsrgn4C7ZJ2arJesEAuiZpzGS9MzzPSocO2Th0jOZHmJ/6ZkwnkKiZ/ya2DKhmYOZrfAc+gtI8JresNqBm2DrZHBNZBi6gKCnPDmAcWynw6UzfPYRXYkiRYlWsXGYNiqqlB8i9JfYgOypLJj7BkoeJADbkHAP0oPMx9yJNtz8Dk8vockEobOhRj7EmR8AA5EYkMG7oFAvi0K9XgrVI4UMeWVYEoXpQDVR2D9SyJBX+yVRmA5UE4WDI4UM+b5SEoXpQDVRODxSGCXDlEThoOZ0RnsHFCYVTMFM5BE7r4nistKdQc3pjv4OKK6HwmFZ6c6w5nRndKSQIa+Vle5o1aU7knwXLu+nH++8yKPcTa702fxEPlK4x4+/mEK9unRHSOGx9E+QH5WV7oyqS3euwAz2b2/uPMm0vvzrPF1+vbZO5GPtn0Kvjf6SNSn9J5fEqHjYurpzL3KzeymFESJ37Ep8fJ85nkaZm6br3i/6rlv8Dqjm4E1ph0w5zCvEa/uze8apWiH4GjUIlg9hgBGBD3Ob0w4CI5JXiZBg/xFOfNfHO5WxkOsWRMBFtkdP8ZaM8RPEBBnAPWXyBTLNeJbxyqFzz6i3iKdcYRBwehfGrsSzvzJalUOKFU8Do4Mqw6BPTDqjQSk7WRUqmn5WW3L8/C4ZyaukDBlSBQQYFCKIX8fAa+Auan4Gb10Ad9EiyoObT1jkFsOtNw03n1vwwac1cKcd/8bg5t8BqO2FO+3ONwY336/vtxfutJPeGNx83jJoL9yDxkMl3wcfthjuxkMl35PW2gv3sOlQqfBwj09v4plME8Mw5KAPHRDEhw6MgO1TxMYBxIguJkYzkX5ORMoeIDPMWSiCyZ6yDZPsUGIEztjcYEl8vhpK9mtt7vY9ktzdLzNt3F8kibiqrEZS+RopU7BanSpYNZ6LegtWla+gbm+OhiM0HAFZ9RoOXw8Y7mMnzOVX9lFZYFH5wBI7q8CAnfJT8qBGR7Wea39r5/fB5H716dEyVkPr5zfB28WtnzIBAc06qRI8TXF/VI0hWgj22w4KJbv54v7CGsEXvoTlm2x6Ba7mjVQOWo1dHyHafEwdtRdtvemmTwIuV6e1wo0XN5s3XmnxrqRFQbOIdo3pudCT8P1MFJt2ozDvdmxo8Wlum0fs1T7lr5fASvFteNPRVNDVR16wJD1l6MZOfY7pkU02Ph3MkRuj0XHKRMl+VZw5Iw+60zN7joPPoWFF69m3s6Mlba4Wd9zXaEnm9QfHRsP55fPj5cn1aPXzfDUWVGAXH2+p4A/kAcNYYkDgn81y1HC7qGhGMk+Y0q+TMYHr66AdFXmpMU8QsnJwv1a32t8iE6msrSQkg2/vMaeGIXA77c6KbRERV1XZzaHto9m9Xi3eWzeqccOAeCM5j3dAlXXzixsxYh2LIsUWbZ1JspgfQRxh/FDfZXauiimmy80TJH51ZKIwMIjbqTAv+B5rZWFezAXfdwwN4LkodmTSfGlZ1Ajo+uIcjP4PPTBvOlFuNvoXvwsspHBYEYX/wIfw0l3Y4d33L6Pv07H9cHslKD+7WMwUs7Lqihl6uvvBqO2XVXa/uqWe/Qc=</diagram></mxfile> \ No newline at end of file
+<mxfile host="Electron" modified="2023-04-16T14:52:07.536Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/21.1.2 Chrome/106.0.5249.199 Electron/21.4.3 Safari/537.36" etag="6P50QtrlxEiiNHGnwoFU" version="21.1.2" type="device" pages="5">
+ <diagram name="level-1" id="DBE48RpXtx8JnoEt3ekA">
+ <mxGraphModel dx="814" dy="772" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="850" pageHeight="1100" math="0" shadow="0">
+ <root>
+ <mxCell id="0" />
+ <mxCell id="1" parent="0" />
+ <mxCell id="5yIttXtTu1TwYloN6E0H-1" value="Basys3 FPGA&lt;br&gt;PPU" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;spacing=0;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;" parent="1" vertex="1">
+ <mxGeometry x="200" y="70" width="160" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="5yIttXtTu1TwYloN6E0H-2" value="Display output&lt;br&gt;(VGA)" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;spacing=0;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;" parent="1" vertex="1">
+ <mxGeometry x="440" y="70" width="80" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-18" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=6;strokeWidth=1;" parent="1" source="5yIttXtTu1TwYloN6E0H-3" target="velpMuO1yKDRFmeNbPdJ-9" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="190" y="85" />
+ <mxPoint x="190" y="85" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="KLAVmYYYXKE9ixAzbo6W-3" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5yIttXtTu1TwYloN6E0H-3" target="KLAVmYYYXKE9ixAzbo6W-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="170" y="145" />
+ <mxPoint x="170" y="145" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5yIttXtTu1TwYloN6E0H-3" value="STM32F091RC&lt;br&gt;CPU" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;spacing=0;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;" parent="1" vertex="1">
+ <mxGeometry x="79.97" y="70" width="80.03" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="5yIttXtTu1TwYloN6E0H-18" value="" style="endArrow=classic;html=1;rounded=0;edgeStyle=orthogonalEdgeStyle;elbow=vertical;align=left;shape=flexArrow;width=4;startWidth=6;endWidth=6;endSize=2;startSize=3;" parent="1" source="5yIttXtTu1TwYloN6E0H-3" target="velpMuO1yKDRFmeNbPdJ-13" edge="1">
+ <mxGeometry x="1" width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="150" y="410" as="sourcePoint" />
+ <mxPoint x="260" y="430" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="180" y="185" />
+ <mxPoint x="180" y="185" />
+ </Array>
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-19" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=2;" parent="1" source="velpMuO1yKDRFmeNbPdJ-6" target="5yIttXtTu1TwYloN6E0H-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points" />
+ <mxPoint x="370" y="140" as="sourcePoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-24" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;endSize=6;exitX=0;exitY=0;exitDx=0;exitDy=0;strokeWidth=1;" parent="1" source="71HMl0jUqLA2WZ6qU7Z_-3" target="velpMuO1yKDRFmeNbPdJ-4" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="380" y="85" />
+ <mxPoint x="380" y="60" />
+ <mxPoint x="60" y="60" />
+ <mxPoint x="60" y="85" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-20" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=2;" parent="1" source="velpMuO1yKDRFmeNbPdJ-8" target="5yIttXtTu1TwYloN6E0H-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="420" y="145" />
+ <mxPoint x="420" y="145" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-25" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;endSize=6;exitX=0;exitY=0;exitDx=0;exitDy=0;strokeWidth=1;" parent="1" source="71HMl0jUqLA2WZ6qU7Z_-4" target="velpMuO1yKDRFmeNbPdJ-5" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="390" y="95" />
+ <mxPoint x="390" y="50" />
+ <mxPoint x="50" y="50" />
+ <mxPoint x="50" y="95" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-9" value="RESET" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-50;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="200" y="80" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-22" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=2;" parent="1" source="velpMuO1yKDRFmeNbPdJ-10" target="5yIttXtTu1TwYloN6E0H-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="380" y="175" />
+ <mxPoint x="380" y="175" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-11" value="VRAM-ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-100;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="200" y="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-23" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=2;" parent="1" source="velpMuO1yKDRFmeNbPdJ-12" target="5yIttXtTu1TwYloN6E0H-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="380" y="185" />
+ <mxPoint x="380" y="185" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-13" value="VRAM-DATA" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-100;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="200" y="180" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-21" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endSize=2;" parent="1" source="velpMuO1yKDRFmeNbPdJ-14" target="5yIttXtTu1TwYloN6E0H-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="380" y="165" />
+ <mxPoint x="380" y="165" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-15" value="" style="endArrow=classic;html=1;rounded=0;edgeStyle=orthogonalEdgeStyle;elbow=vertical;align=left;shape=flexArrow;width=4;startWidth=6;endWidth=6;endSize=2;startSize=3;" parent="1" source="5yIttXtTu1TwYloN6E0H-3" target="velpMuO1yKDRFmeNbPdJ-11" edge="1">
+ <mxGeometry x="1" width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="170.00000000000006" y="145.00000000000006" as="sourcePoint" />
+ <mxPoint x="210.00000000000006" y="145.00000000000006" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="180" y="165" />
+ <mxPoint x="180" y="165" />
+ </Array>
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="KLAVmYYYXKE9ixAzbo6W-2" value="VRAM-WEN" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-100;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="200" y="140" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="lNlDgj40sudh8BmJfRRg-1" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="360" y="160" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-10" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="lNlDgj40sudh8BmJfRRg-1" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-12" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="lNlDgj40sudh8BmJfRRg-1" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-14" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="lNlDgj40sudh8BmJfRRg-1" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="71HMl0jUqLA2WZ6qU7Z_-1" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="360" y="130" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-6" value="NVSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="71HMl0jUqLA2WZ6qU7Z_-1" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-8" value="NHSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="71HMl0jUqLA2WZ6qU7Z_-1" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="71HMl0jUqLA2WZ6qU7Z_-2" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="360" y="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="71HMl0jUqLA2WZ6qU7Z_-3" value="TVSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="71HMl0jUqLA2WZ6qU7Z_-2" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="71HMl0jUqLA2WZ6qU7Z_-4" value="THSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="71HMl0jUqLA2WZ6qU7Z_-2" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-1" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="360" y="100" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-2" value="TVBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="yYbuRtbbAqzOlN9-CBbU-1" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-3" value="THBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="yYbuRtbbAqzOlN9-CBbU-1" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-4" value="HBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="79.97" y="120" width="0.03" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-5" value="VBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="79.97" y="110" width="0.03" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-6" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="79.97" y="80" width="0.030000000000001137" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-4" value="VSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="yYbuRtbbAqzOlN9-CBbU-6" vertex="1">
+ <mxGeometry width="0.03" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="velpMuO1yKDRFmeNbPdJ-5" value="HSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="yYbuRtbbAqzOlN9-CBbU-6" vertex="1">
+ <mxGeometry y="10" width="0.03" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-9" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;" parent="1" source="yYbuRtbbAqzOlN9-CBbU-2" target="yYbuRtbbAqzOlN9-CBbU-5" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="400" y="105" />
+ <mxPoint x="400" y="40" />
+ <mxPoint x="40" y="40" />
+ <mxPoint x="40" y="115" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="yYbuRtbbAqzOlN9-CBbU-10" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;strokeWidth=1;endSize=6;" parent="1" source="yYbuRtbbAqzOlN9-CBbU-3" target="yYbuRtbbAqzOlN9-CBbU-4" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="410" y="115" />
+ <mxPoint x="410" y="30" />
+ <mxPoint x="30" y="30" />
+ <mxPoint x="30" y="125" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ </root>
+ </mxGraphModel>
+ </diagram>
+ <diagram id="MdQQJuunD4ehtDpxKxax" name="level-2">
+ <mxGraphModel dx="1178" dy="723" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="850" pageHeight="1100" math="0" shadow="0">
+ <root>
+ <mxCell id="0" />
+ <mxCell id="1" parent="0" />
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-67" value="sprite info" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;verticalAlign=middle;spacingBottom=8;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-41" target="5Cf9vH4vzOKvy5ulrHjL-53" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-75" value="TMM" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=block;startFill=0;endArrow=none;endFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-53" target="5Cf9vH4vzOKvy5ulrHjL-40" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="350" y="180" />
+ <mxPoint x="350" y="180" />
+ </Array>
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-41" value="Background sprite info" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="40" width="80" height="80" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-65" value="sprite info" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;verticalAlign=middle;spacingBottom=8;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-43" target="5Cf9vH4vzOKvy5ulrHjL-57" edge="1">
+ <mxGeometry x="0.5" relative="1" as="geometry">
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-73" value="TMM" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;shape=flexArrow;dashed=1;" parent="1" source="NryI2nnm7culigx5ueKd-3" target="5Cf9vH4vzOKvy5ulrHjL-57" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="110" y="180" />
+ <mxPoint x="110" y="180" />
+ </Array>
+ <mxPoint x="370" y="-20" as="sourcePoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-43" value="Foreground sprite info" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="150" y="40" width="80" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-70" value="global palette index" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-44" target="5Cf9vH4vzOKvy5ulrHjL-48" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-44" value="Compositor" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="240" width="80" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="rq75ds9a1dO3QxOp4wKL-1" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-45" target="5Cf9vH4vzOKvy5ulrHjL-43" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="260" y="480" />
+ <mxPoint x="20" y="480" />
+ <mxPoint x="20" y="20" />
+ <mxPoint x="190" y="20" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="rq75ds9a1dO3QxOp4wKL-2" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-45" target="5Cf9vH4vzOKvy5ulrHjL-41" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="260" y="480" />
+ <mxPoint x="20" y="480" />
+ <mxPoint x="20" y="20" />
+ <mxPoint x="280" y="20" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="rq75ds9a1dO3QxOp4wKL-5" value="VGA signal" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-45" target="9KQGuUNWAf0LBUd-UVnW-1" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="300" y="500" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="300" y="500" />
+ <mxPoint x="300" y="500" />
+ </Array>
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-45" value="tiny VGA signal generator" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="400" width="80" height="60" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-71" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-48" target="5Cf9vH4vzOKvy5ulrHjL-45" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-72" value="rgb value" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-48" target="5Cf9vH4vzOKvy5ulrHjL-45" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-81" value="PAL" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=block;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-48" target="5Cf9vH4vzOKvy5ulrHjL-40" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="360" y="340" />
+ <mxPoint x="360" y="340" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-48" value="Palette lookup" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="320" width="80" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-69" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-53" target="5Cf9vH4vzOKvy5ulrHjL-44" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-79" value="BAM" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-40" target="5Cf9vH4vzOKvy5ulrHjL-41" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="340" y="60" />
+ <mxPoint x="340" y="60" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-80" value="AUX" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=block;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-41" target="5Cf9vH4vzOKvy5ulrHjL-40" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="360" y="100" />
+ <mxPoint x="360" y="100" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-53" value="Sprite render" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="160" width="80" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-68" value="pixel data" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-57" target="5Cf9vH4vzOKvy5ulrHjL-44" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="220" y="220" />
+ <mxPoint x="280" y="220" />
+ </Array>
+ <mxPoint as="offset" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-74" value="FAM" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=block;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-43" target="NryI2nnm7culigx5ueKd-3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="90" y="60" />
+ <mxPoint x="90" y="60" />
+ </Array>
+ <mxPoint x="370" y="-100" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-57" value="Sprite render" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="150" y="160" width="80" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-76" value="TMM" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=block;startFill=0;endArrow=none;endFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-61" target="5Cf9vH4vzOKvy5ulrHjL-40" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="490" y="180" />
+ <mxPoint x="490" y="180" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-61" value="Tilemap memory" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="510" y="160" width="120" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-78" value="BAM" style="edgeStyle=orthogonalEdgeStyle;shape=flexArrow;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="5Cf9vH4vzOKvy5ulrHjL-40" target="5Cf9vH4vzOKvy5ulrHjL-63" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="460" y="60" />
+ <mxPoint x="460" y="60" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-63" value="Background attribute memory" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="510" y="40" width="120" height="40" as="geometry" />
+ </mxCell>
+ <mxCell id="rq75ds9a1dO3QxOp4wKL-3" value="screen position" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;labelBackgroundColor=default;" parent="1" vertex="1">
+ <mxGeometry x="160" y="470" width="100" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="5Cf9vH4vzOKvy5ulrHjL-40" value="PPU RAM bus" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=top;horizontal=0;direction=west;align=right;spacingTop=0;spacingRight=8;" parent="1" vertex="1">
+ <mxGeometry x="400" y="40" width="30" height="320" as="geometry" />
+ </mxCell>
+ <mxCell id="NryI2nnm7culigx5ueKd-3" value="PPU RAM bus" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=top;horizontal=0;direction=west;align=right;spacingTop=0;spacingRight=8;" parent="1" vertex="1">
+ <mxGeometry x="40" y="40" width="30" height="160" as="geometry" />
+ </mxCell>
+ <mxCell id="9KQGuUNWAf0LBUd-UVnW-1" value="native VGA signal generator" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="240" y="510" width="80" height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="9KQGuUNWAf0LBUd-UVnW-2" value="VGA signal" style="edgeStyle=orthogonalEdgeStyle;rounded=0;sketch=0;orthogonalLoop=1;jettySize=auto;html=1;shadow=0;startArrow=none;startFill=0;" parent="1" source="9KQGuUNWAf0LBUd-UVnW-1" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="280" y="600" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="280" y="590" />
+ <mxPoint x="280" y="590" />
+ </Array>
+ <mxPoint as="offset" />
+ <mxPoint x="309.9999999999999" y="560" as="sourcePoint" />
+ </mxGeometry>
+ </mxCell>
+ </root>
+ </mxGraphModel>
+ </diagram>
+ <diagram id="lj51MlK96fuJFRP7cw84" name="level-3">
+ <mxGraphModel dx="2264" dy="867" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="850" pageHeight="1100" math="0" shadow="0">
+ <root>
+ <mxCell id="0" />
+ <mxCell id="1" parent="0" />
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-6" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-7" target="bjCuhUbFX2v8YqsDo6B7-15" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="250" y="350" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="200" y="395" />
+ <mxPoint x="200" y="170" />
+ <mxPoint x="-430" y="170" />
+ <mxPoint x="-430" y="270" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-7" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-6" target="bjCuhUbFX2v8YqsDo6B7-14" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="240" y="350" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="190" y="385" />
+ <mxPoint x="190" y="180" />
+ <mxPoint x="-420" y="180" />
+ <mxPoint x="-420" y="260" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-11" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-28" target="EM9Dl4sIMjbmbU_NA9_9-14" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-12" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-29" target="EM9Dl4sIMjbmbU_NA9_9-15" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-13" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-30" target="EM9Dl4sIMjbmbU_NA9_9-16" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-41" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-820" y="50" width="40" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-38" value="100MHz" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="wEA3DHw5RLQjISUzEbIX-41" vertex="1">
+ <mxGeometry width="40" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-40" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-41" vertex="1">
+ <mxGeometry x="40" y="10" height="9.375" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-3" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-20" y="350" width="80" height="110" as="geometry" />
+ </mxCell>
+ <mxCell id="RpbNCyJe_ohjGMJJr0fz-12" value="Palette lookup" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=top;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1">
+ <mxGeometry width="80" height="110" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-19" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1">
+ <mxGeometry y="8" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-20" value="CIDX" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-14" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1" connectable="0">
+ <mxGeometry y="70" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-22" value="WEN" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-14" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-23" value="ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-14" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-24" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-14" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-19" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1" connectable="0">
+ <mxGeometry x="80" y="50" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-28" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-19" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-29" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-19" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-30" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-19" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-2" value="RESET" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-3" vertex="1">
+ <mxGeometry y="50" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-18" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="Tf6Ak-pTBCc5z1zwRQ5l-20" target="EM9Dl4sIMjbmbU_NA9_9-22" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-70" y="420" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-40" y="195" />
+ <mxPoint x="-40" y="425" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-20" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-740" y="150" width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="9ODFVnU23KS44HdE9Q74-1" value="Address decoder" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="g8MuYQqRyjTqkSnNG5VP-20" vertex="1">
+ <mxGeometry width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-13" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-20" vertex="1" connectable="0">
+ <mxGeometry y="10" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-16" value="WEN" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-13" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-6" value="ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-13" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-19" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-20" vertex="1" connectable="0">
+ <mxGeometry x="80" y="10" height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-21" value="AUX" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-19" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-20" value="PAL" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-19" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-19" value="FAM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-19" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-18" value="BAM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-19" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="Tf6Ak-pTBCc5z1zwRQ5l-17" value="TMM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-19" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-37" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-40" target="g8MuYQqRyjTqkSnNG5VP-25" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-40" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-700" y="50" width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-23" value="Pipeline clock edge generator" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="g8MuYQqRyjTqkSnNG5VP-40" vertex="1">
+ <mxGeometry width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-35" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-40" vertex="1" connectable="0">
+ <mxGeometry x="80" y="10" height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-28" value="S5" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-35" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-29" value="S4" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-35" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-30" value="S3" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-35" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-31" value="S2" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-35" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-32" value="S1" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-35" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-39" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-40" vertex="1" connectable="0">
+ <mxGeometry y="10" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-25" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-39" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-26" value="RESET" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-39" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-79" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-190" y="370" width="120" height="110" as="geometry" />
+ </mxCell>
+ <mxCell id="RpbNCyJe_ohjGMJJr0fz-6" value="Compositor" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="g8MuYQqRyjTqkSnNG5VP-79" vertex="1">
+ <mxGeometry width="120" height="110" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-64" value="CIDX" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-79" vertex="1">
+ <mxGeometry x="120" y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-73" value="BGEN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-79" vertex="1">
+ <mxGeometry x="120" y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-75" value="CIDX" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-79" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--40" value="" style="group" parent="g8MuYQqRyjTqkSnNG5VP-79" vertex="1" connectable="0">
+ <mxGeometry y="50" width="120" height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-70" value="" style="group" parent="9ePOu52QdGpPpxVl_8F--40" vertex="1" connectable="0">
+ <mxGeometry height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-57" value="FG00HIT" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-70" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-58" value="FG01HIT" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-70" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-67" value="FG7AHIT" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-70" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-68" value="( - )" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-40;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-70" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-69" value="FG80HIT" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="g8MuYQqRyjTqkSnNG5VP-70" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-71" value="" style="group" parent="9ePOu52QdGpPpxVl_8F--40" vertex="1" connectable="0">
+ <mxGeometry x="120" height="50" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-60" value="FG7AEN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-71" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-61" value="FG01EN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-71" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-62" value="FG00EN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-71" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-65" value="( - )" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;spacingLeft=-40;" parent="g8MuYQqRyjTqkSnNG5VP-71" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-66" value="FG80EN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="g8MuYQqRyjTqkSnNG5VP-71" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="g8MuYQqRyjTqkSnNG5VP-81" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-64" target="EM9Dl4sIMjbmbU_NA9_9-20" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--46" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=1;" parent="1" source="9ePOu52QdGpPpxVl_8F--21" target="g8MuYQqRyjTqkSnNG5VP-57" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--47" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=1;" parent="1" source="9ePOu52QdGpPpxVl_8F--19" target="g8MuYQqRyjTqkSnNG5VP-75" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-210" y="405" />
+ <mxPoint x="-210" y="385" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--48" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=1;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-62" target="9ePOu52QdGpPpxVl_8F--42" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-60" y="425" />
+ <mxPoint x="-60" y="580" />
+ <mxPoint x="-440" y="580" />
+ <mxPoint x="-440" y="425" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--49" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-580" y="350" width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--50" value="Tilemap memory" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=top;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--51" value="ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--52" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry x="80" y="50" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--53" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry y="50" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--54" value="WEN" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--55" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--49" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--57" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-580" y="250" width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--58" value="Background attribute memory" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=top;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry width="80" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--59" value="ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry y="40" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--60" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry x="80" y="50" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--61" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry y="50" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--62" value="WEN" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--63" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--57" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-26" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="bjCuhUbFX2v8YqsDo6B7-4" target="g8MuYQqRyjTqkSnNG5VP-75" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-210" y="220" />
+ <mxPoint x="-210" y="385" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-23" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-400" y="390" width="160" height="160" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--2" value="Foreground sprite" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;verticalAlign=top;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1">
+ <mxGeometry width="160" height="160" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--3" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--19" value="CIDX" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1">
+ <mxGeometry x="160" y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--27" value="FETCH" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1">
+ <mxGeometry y="80" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--30" value="" style="group;spacingRight=-70;" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1" connectable="0">
+ <mxGeometry y="100" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--31" value="WEN-FAM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--30" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--32" value="ADDR-FAM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--30" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--33" value="DATA-FAM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--30" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--39" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1" connectable="0">
+ <mxGeometry y="140" width="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--34" value="ADDR-TMM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;spacingLeft=-70;" parent="9ePOu52QdGpPpxVl_8F--39" vertex="1">
+ <mxGeometry x="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--36" value="DATA-TMM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--39" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--41" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1" connectable="0">
+ <mxGeometry y="50" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--24" value="X" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--41" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--25" value="Y" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--41" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--43" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-23" vertex="1" connectable="0">
+ <mxGeometry y="30" width="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--21" value="HIT" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="9ePOu52QdGpPpxVl_8F--43" vertex="1">
+ <mxGeometry x="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="9ePOu52QdGpPpxVl_8F--42" value="OE" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="9ePOu52QdGpPpxVl_8F--43" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-28" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-73" target="bjCuhUbFX2v8YqsDo6B7-18" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-50" y="405" />
+ <mxPoint x="-50" y="160" />
+ <mxPoint x="-440" y="160" />
+ <mxPoint x="-440" y="240" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-29" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-400" y="205" width="160" height="160" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-2" value="Background sprite" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;verticalAlign=top;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1">
+ <mxGeometry width="160" height="160" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-3" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-4" value="CIDX" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1">
+ <mxGeometry x="160" y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-6" value="" style="group;spacingRight=-70;" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1" connectable="0">
+ <mxGeometry y="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-7" value="WEN-AUX" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-6" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-8" value="ADDR-AUX" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-6" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-9" value="DATA-AUX" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-6" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-10" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1" connectable="0">
+ <mxGeometry y="120" width="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-11" value="ADDR-BAM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;spacingLeft=-70;" parent="bjCuhUbFX2v8YqsDo6B7-10" vertex="1">
+ <mxGeometry x="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-12" value="DATA-BAM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-10" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-13" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1" connectable="0">
+ <mxGeometry y="50" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-14" value="X" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-13" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-15" value="Y" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-13" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-18" value="OE" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1">
+ <mxGeometry y="30" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-20" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-29" vertex="1" connectable="0">
+ <mxGeometry y="140" width="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-21" value="ADDR-TMM" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;spacingLeft=-70;" parent="bjCuhUbFX2v8YqsDo6B7-20" vertex="1">
+ <mxGeometry x="160" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-22" value="DATA-TMM" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=-70;spacingLeft=2;" parent="bjCuhUbFX2v8YqsDo6B7-20" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-31" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--60" target="bjCuhUbFX2v8YqsDo6B7-12" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-470" y="305" />
+ <mxPoint x="-470" y="330" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-32" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--52" target="bjCuhUbFX2v8YqsDo6B7-22" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-490" y="405" />
+ <mxPoint x="-490" y="350" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-33" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--52" target="9ePOu52QdGpPpxVl_8F--36" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-490" y="405" />
+ <mxPoint x="-490" y="535" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-37" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;" parent="1" source="Tf6Ak-pTBCc5z1zwRQ5l-17" target="9ePOu52QdGpPpxVl_8F--50" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-610" y="165" />
+ <mxPoint x="-610" y="385" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-38" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="Tf6Ak-pTBCc5z1zwRQ5l-18" target="9ePOu52QdGpPpxVl_8F--62" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-620" y="175" />
+ <mxPoint x="-620" y="285" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-39" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="Tf6Ak-pTBCc5z1zwRQ5l-21" target="bjCuhUbFX2v8YqsDo6B7-7" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-450" y="205" />
+ <mxPoint x="-450" y="290" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-40" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="bjCuhUbFX2v8YqsDo6B7-14" target="9ePOu52QdGpPpxVl_8F--24" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-420" y="260" />
+ <mxPoint x="-420" y="445" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-41" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="bjCuhUbFX2v8YqsDo6B7-15" target="9ePOu52QdGpPpxVl_8F--25" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-430" y="270" />
+ <mxPoint x="-430" y="455" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-43" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="Tf6Ak-pTBCc5z1zwRQ5l-19" target="9ePOu52QdGpPpxVl_8F--31" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-640" y="185" />
+ <mxPoint x="-640" y="495" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-47" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--63" target="9ePOu52QdGpPpxVl_8F--55" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-600" y="265" />
+ <mxPoint x="-600" y="365" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-48" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--59" target="9ePOu52QdGpPpxVl_8F--51" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-620" y="295" />
+ <mxPoint x="-620" y="395" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-49" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--61" target="9ePOu52QdGpPpxVl_8F--53" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-630" y="305" />
+ <mxPoint x="-630" y="405" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-50" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--51" target="9ePOu52QdGpPpxVl_8F--32" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-620" y="395" />
+ <mxPoint x="-620" y="505" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-51" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--53" target="9ePOu52QdGpPpxVl_8F--33" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-630" y="405" />
+ <mxPoint x="-630" y="515" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-52" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--32" target="bjCuhUbFX2v8YqsDo6B7-8" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-450" y="505" />
+ <mxPoint x="-450" y="300" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-53" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--33" target="bjCuhUbFX2v8YqsDo6B7-9" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-460" y="515" />
+ <mxPoint x="-460" y="310" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-54" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-29" target="Tf6Ak-pTBCc5z1zwRQ5l-16" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-55" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-30" target="g8MuYQqRyjTqkSnNG5VP-6" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-57" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-6" target="9ePOu52QdGpPpxVl_8F--59" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-760" y="175" />
+ <mxPoint x="-760" y="295" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-59" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-31" target="9ePOu52QdGpPpxVl_8F--61" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-770" y="185" />
+ <mxPoint x="-770" y="305" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-61" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-34" target="g8MuYQqRyjTqkSnNG5VP-26" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-760" y="145" />
+ <mxPoint x="-760" y="75" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-64" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-32" target="bjCuhUbFX2v8YqsDo6B7-3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-540" y="70" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-480" y="65" />
+ <mxPoint x="-480" y="220" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-66" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-31" target="bjCuhUbFX2v8YqsDo6B7-3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-530" y="80" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-480" y="75" />
+ <mxPoint x="-480" y="220" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-67" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-30" target="bjCuhUbFX2v8YqsDo6B7-3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-520" y="85" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-480" y="85" />
+ <mxPoint x="-480" y="220" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-68" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-29" target="bjCuhUbFX2v8YqsDo6B7-3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-510" y="95" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-480" y="95" />
+ <mxPoint x="-480" y="220" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-69" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="g8MuYQqRyjTqkSnNG5VP-28" target="EM9Dl4sIMjbmbU_NA9_9-19" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-400" y="105" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-60" y="105" />
+ <mxPoint x="-60" y="363" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-70" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-38" target="EM9Dl4sIMjbmbU_NA9_9-13" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-720" y="65" />
+ <mxPoint x="-720" y="40" />
+ <mxPoint x="80" y="40" />
+ <mxPoint x="80" y="385" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-71" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="bjCuhUbFX2v8YqsDo6B7-3" target="9ePOu52QdGpPpxVl_8F--3" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-480" y="220" />
+ <mxPoint x="-480" y="405" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-72" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-40" target="9ePOu52QdGpPpxVl_8F--63" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-720" y="65" />
+ <mxPoint x="-720" y="40" />
+ <mxPoint x="-600" y="40" />
+ <mxPoint x="-600" y="265" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-75" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--53" target="EM9Dl4sIMjbmbU_NA9_9-24" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-630" y="405" />
+ <mxPoint x="-630" y="590" />
+ <mxPoint x="-40" y="590" />
+ <mxPoint x="-40" y="445" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-76" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="9ePOu52QdGpPpxVl_8F--51" target="EM9Dl4sIMjbmbU_NA9_9-23" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-640" y="395" />
+ <mxPoint x="-640" y="600" />
+ <mxPoint x="-50" y="600" />
+ <mxPoint x="-50" y="435" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-77" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-34" target="g8MuYQqRyjTqkSnNG5VP-2" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="-30" y="145" />
+ <mxPoint x="-30" y="405" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="bjCuhUbFX2v8YqsDo6B7-79" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="-840" y="130" width="60" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-27" value="Inputs" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="bjCuhUbFX2v8YqsDo6B7-79" vertex="1">
+ <mxGeometry width="60" height="70" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-34" value="RESET" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="bjCuhUbFX2v8YqsDo6B7-79" vertex="1">
+ <mxGeometry x="60" y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-36" value="" style="group" parent="bjCuhUbFX2v8YqsDo6B7-79" vertex="1" connectable="0">
+ <mxGeometry x="60" y="30" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-29" value="WEN" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-36" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-30" value="ADDR" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-36" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-31" value="DATA" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-36" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-35" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="240" y="350" width="80" height="100" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-11" value="native VGA signal generator" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;verticalAlign=bottom;labelPosition=center;verticalLabelPosition=top;align=center;spacing=0;" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1">
+ <mxGeometry width="80" height="100" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-12" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-13" value="" style="group" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1" connectable="0">
+ <mxGeometry y="60" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-14" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-13" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-15" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-13" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-16" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-13" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-17" value="" style="group" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1" connectable="0">
+ <mxGeometry x="80" y="10" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-18" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="asGSl-swMHOy_zAD0BHO-17" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-19" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="asGSl-swMHOy_zAD0BHO-17" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-20" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="asGSl-swMHOy_zAD0BHO-17" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-24" value="" style="group" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1" connectable="0">
+ <mxGeometry x="80" y="50" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-25" value="VSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="asGSl-swMHOy_zAD0BHO-24" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-26" value="HSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="asGSl-swMHOy_zAD0BHO-24" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-33" value="" style="group" parent="asGSl-swMHOy_zAD0BHO-35" vertex="1" connectable="0">
+ <mxGeometry y="30" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-28" value="X" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-33" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="asGSl-swMHOy_zAD0BHO-29" value="Y" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="asGSl-swMHOy_zAD0BHO-33" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-5" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-8" target="asGSl-swMHOy_zAD0BHO-14" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-6" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-9" target="asGSl-swMHOy_zAD0BHO-15" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-7" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-10" target="asGSl-swMHOy_zAD0BHO-16" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-8" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-11" target="38QpaRpeLq6t7OQdC5Rx-2" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-9" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-12" target="38QpaRpeLq6t7OQdC5Rx-3" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-10" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-6" target="asGSl-swMHOy_zAD0BHO-28" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-13" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="EM9Dl4sIMjbmbU_NA9_9-7" target="asGSl-swMHOy_zAD0BHO-29" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-14" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="wEA3DHw5RLQjISUzEbIX-40" target="asGSl-swMHOy_zAD0BHO-12" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="-750" y="20" as="targetPoint" />
+ <Array as="points">
+ <mxPoint x="-720" y="65" />
+ <mxPoint x="-720" y="40" />
+ <mxPoint x="80" y="40" />
+ <mxPoint x="80" y="365" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-15" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="asGSl-swMHOy_zAD0BHO-18" target="wEA3DHw5RLQjISUzEbIX-44" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="380" y="365" />
+ <mxPoint x="380" y="385" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-17" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="asGSl-swMHOy_zAD0BHO-19" target="wEA3DHw5RLQjISUzEbIX-45" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="370" y="375" />
+ <mxPoint x="370" y="395" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-18" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="asGSl-swMHOy_zAD0BHO-20" target="wEA3DHw5RLQjISUzEbIX-46" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="360" y="385" />
+ <mxPoint x="360" y="405" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-19" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="asGSl-swMHOy_zAD0BHO-25" target="wEA3DHw5RLQjISUzEbIX-49" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="350" y="405" />
+ <mxPoint x="350" y="425" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-20" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;" parent="1" source="asGSl-swMHOy_zAD0BHO-26" target="wEA3DHw5RLQjISUzEbIX-50" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <Array as="points">
+ <mxPoint x="340" y="415" />
+ <mxPoint x="340" y="435" />
+ </Array>
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-33" value="Outputs" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;labelPosition=center;verticalLabelPosition=bottom;align=center;verticalAlign=top;spacing=0;" parent="1" vertex="1">
+ <mxGeometry x="400" y="370" width="60" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-43" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="400" y="380" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-44" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-43" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-45" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-43" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-46" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-43" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-52" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="400" y="420" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-49" value="NVSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-52" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-50" value="NHSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-52" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-1" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="400" y="450" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-2" value="TVSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="38QpaRpeLq6t7OQdC5Rx-1" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="38QpaRpeLq6t7OQdC5Rx-3" value="THSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="38QpaRpeLq6t7OQdC5Rx-1" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-1" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="400" y="470" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-2" value="TVBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="GcrODafO3YUmR63b7av8-1" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-3" value="THBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="GcrODafO3YUmR63b7av8-1" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="RpbNCyJe_ohjGMJJr0fz-3" value="tiny VGA signal generator" style="rounded=0;whiteSpace=wrap;html=1;spacingLeft=-50;spacingRight=-50;verticalAlign=top;labelPosition=center;verticalLabelPosition=bottom;align=center;spacing=0;" parent="1" vertex="1">
+ <mxGeometry x="100" y="370" width="80" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-13" value="CLK" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="1" vertex="1">
+ <mxGeometry x="100" y="380" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-21" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="100" y="400" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-14" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-21" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-15" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-21" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-16" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=left;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=0;spacingLeft=2;" parent="wEA3DHw5RLQjISUzEbIX-21" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-22" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="180" y="410" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-8" value="R" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-22" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-9" value="G" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-22" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-10" value="B" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-22" vertex="1">
+ <mxGeometry y="20" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-23" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="180" y="380" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-6" value="X" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-23" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-7" value="Y" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-23" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="wEA3DHw5RLQjISUzEbIX-24" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="180" y="450" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-11" value="VSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-24" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="EM9Dl4sIMjbmbU_NA9_9-12" value="HSYNC" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="wEA3DHw5RLQjISUzEbIX-24" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-4" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry x="180" y="470" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-5" value="VBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="GcrODafO3YUmR63b7av8-4" vertex="1">
+ <mxGeometry height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-6" value="HBLANK" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacing=0;spacingRight=2;" parent="GcrODafO3YUmR63b7av8-4" vertex="1">
+ <mxGeometry y="10" height="10" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-7" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=1;endSize=6;" parent="1" source="GcrODafO3YUmR63b7av8-5" target="GcrODafO3YUmR63b7av8-2" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="GcrODafO3YUmR63b7av8-8" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=1;endSize=6;" parent="1" source="GcrODafO3YUmR63b7av8-6" target="GcrODafO3YUmR63b7av8-3" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ </root>
+ </mxGraphModel>
+ </diagram>
+ <diagram id="1ySgP4OewCsUoPnmkRD4" name="display">
+ <mxGraphModel dx="574" dy="772" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="850" pageHeight="1100" math="0" shadow="0">
+ <root>
+ <mxCell id="0" />
+ <mxCell id="1" parent="0" />
+ <mxCell id="yaHYUMDSwxGPtUMtmVGa-2" value="" style="group" parent="1" vertex="1" connectable="0">
+ <mxGeometry width="330" height="250" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-2" value="Background canvas&lt;br&gt;(640x480)" style="rounded=0;whiteSpace=wrap;html=1;verticalAlign=bottom;spacing=10;" parent="yaHYUMDSwxGPtUMtmVGa-2" vertex="1">
+ <mxGeometry x="10" y="10" width="320" height="240" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-12" value="BAM (0,0)" style="shape=waypoint;sketch=0;fillStyle=solid;size=6;pointerEvents=1;points=[];fillColor=none;resizable=0;rotatable=0;perimeter=centerPerimeter;snapToPoint=1;dashed=1;verticalAlign=bottom;align=left;labelPosition=right;verticalLabelPosition=middle;spacing=-7;" parent="yaHYUMDSwxGPtUMtmVGa-2" vertex="1">
+ <mxGeometry width="20" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="yaHYUMDSwxGPtUMtmVGa-1" value="" style="group" parent="yaHYUMDSwxGPtUMtmVGa-2" vertex="1" connectable="0">
+ <mxGeometry x="110" y="60" width="170" height="130" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-1" value="PPU Viewport&lt;br&gt;(320x240)" style="rounded=0;whiteSpace=wrap;html=1;fillColor=none;dashed=1;" parent="yaHYUMDSwxGPtUMtmVGa-1" vertex="1">
+ <mxGeometry x="10" y="10" width="160" height="120" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-13" value="screen (0,0)" style="shape=waypoint;sketch=0;fillStyle=solid;size=6;pointerEvents=1;points=[];fillColor=none;resizable=0;rotatable=0;perimeter=centerPerimeter;snapToPoint=1;dashed=1;verticalAlign=bottom;align=left;labelPosition=right;verticalLabelPosition=middle;spacing=-7;" parent="yaHYUMDSwxGPtUMtmVGa-1" vertex="1">
+ <mxGeometry width="20" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-3" value="AUX Y" style="edgeStyle=elbowEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" parent="1" source="E4kf0u5coX9S4s8HQT6Q-10" target="E4kf0u5coX9S4s8HQT6Q-1" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-11" value="AUX X" style="edgeStyle=elbowEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;entryX=0;entryY=0.5;entryDx=0;entryDy=0;elbow=vertical;" parent="1" source="E4kf0u5coX9S4s8HQT6Q-8" target="E4kf0u5coX9S4s8HQT6Q-1" edge="1">
+ <mxGeometry relative="1" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-8" value="" style="rounded=0;whiteSpace=wrap;html=1;dashed=1;fillColor=none;strokeColor=none;" parent="1" vertex="1">
+ <mxGeometry y="10" width="10" height="240" as="geometry" />
+ </mxCell>
+ <mxCell id="E4kf0u5coX9S4s8HQT6Q-10" value="" style="rounded=0;whiteSpace=wrap;html=1;dashed=1;fillColor=none;strokeColor=none;" parent="1" vertex="1">
+ <mxGeometry x="10" width="320" height="10" as="geometry" />
+ </mxCell>
+ </root>
+ </mxGraphModel>
+ </diagram>
+ <diagram id="xwm_nTvbWLU7bshfNvv3" name="pipeline">
+ <mxGraphModel dx="1378" dy="723" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="850" pageHeight="1100" math="0" shadow="0">
+ <root>
+ <mxCell id="0" />
+ <mxCell id="1" parent="0" />
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-20" value="" style="endArrow=none;html=1;rounded=0;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="100" y="190" as="sourcePoint" />
+ <mxPoint x="100" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-2" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="180" y="190" as="sourcePoint" />
+ <mxPoint x="180" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-3" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="260" y="190" as="sourcePoint" />
+ <mxPoint x="260" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-4" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="340" y="190" as="sourcePoint" />
+ <mxPoint x="340" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-5" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="419.99999999999994" y="190" as="sourcePoint" />
+ <mxPoint x="419.99999999999994" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-6" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="499.99999999999994" y="190" as="sourcePoint" />
+ <mxPoint x="499.99999999999994" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-7" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry x="820" y="190" width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="580" y="190" as="sourcePoint" />
+ <mxPoint x="580" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-8" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="659.9999999999999" y="190" as="sourcePoint" />
+ <mxPoint x="659.9999999999999" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-9" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="739.9999999999999" y="190" as="sourcePoint" />
+ <mxPoint x="739.9999999999999" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="01XsuYDIXnxnY-9CK8Sb-1" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="819.9999999999999" y="190" as="sourcePoint" />
+ <mxPoint x="819.9999999999999" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="01XsuYDIXnxnY-9CK8Sb-2" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="899.9999999999999" y="190" as="sourcePoint" />
+ <mxPoint x="899.9999999999999" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="KaSe4TMXn0dfQqhvJRLf-13" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" parent="1" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="979.17" y="190" as="sourcePoint" />
+ <mxPoint x="979.17" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="IbhH1XF3vQQQu7d6qqs4-2" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" edge="1" parent="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="1059.17" y="190" as="sourcePoint" />
+ <mxPoint x="1059.17" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="IbhH1XF3vQQQu7d6qqs4-4" value="" style="endArrow=none;dashed=1;html=1;rounded=0;opacity=50;" edge="1" parent="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="1139.17" y="190" as="sourcePoint" />
+ <mxPoint x="1139.17" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-21" value="" style="endArrow=none;html=1;rounded=0;exitX=1;exitY=1;exitDx=0;exitDy=0;" parent="1" source="IbhH1XF3vQQQu7d6qqs4-3" edge="1">
+ <mxGeometry width="50" height="50" relative="1" as="geometry">
+ <mxPoint x="1070" y="30" as="sourcePoint" />
+ <mxPoint x="100" y="20" as="targetPoint" />
+ </mxGeometry>
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-26" value="ppu_sprite_bg" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacingRight=2;" parent="1" vertex="1">
+ <mxGeometry y="30" width="100" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-10" value="0" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="100" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-12" value="1" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="180" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-13" value="2" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="260" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-14" value="3" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="340" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-15" value="4" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="420" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-16" value="5" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="500" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-17" value="6" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="580" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-18" value="7" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="660" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-28" value="BAM address" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fillStyle=auto;rounded=0;shadow=0;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="180" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-30" value="ppu_sprite_fg" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacingRight=2;" parent="1" vertex="1">
+ <mxGeometry y="70" width="100" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-32" value="TMM address" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fillStyle=auto;rounded=0;shadow=0;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="180" y="70" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-34" value="clk" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacingRight=2;" parent="1" vertex="1">
+ <mxGeometry width="100" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="yb7N7GYpCYwOkfcw6fjU-1" value="TMM data" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;" parent="1" vertex="1">
+ <mxGeometry x="660" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="yb7N7GYpCYwOkfcw6fjU-2" value="TMM address" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="580" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="01XsuYDIXnxnY-9CK8Sb-3" value="8" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="740" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="01XsuYDIXnxnY-9CK8Sb-4" value="9" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="820" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-29" value="BAM data" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;" parent="1" vertex="1">
+ <mxGeometry x="260" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="nWC_gr3oSsyCH89HzhcY-33" value="TMM data" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;" parent="1" vertex="1">
+ <mxGeometry x="260" y="70" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="01XsuYDIXnxnY-9CK8Sb-6" value="idle" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;opacity=70;dashed=1;textOpacity=70;" parent="1" vertex="1">
+ <mxGeometry x="100" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="h9nelDEgbrpPscfxySUE-6" value="idle" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;opacity=70;dashed=1;textOpacity=70;" parent="1" vertex="1">
+ <mxGeometry x="740" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="dLFhgi6bJzkJ-L9wjGwB-1" value="HIT (inaccurate)" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fillStyle=auto;rounded=0;shadow=0;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="100" y="110" width="240" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="dLFhgi6bJzkJ-L9wjGwB-5" value="idle" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;opacity=70;dashed=1;textOpacity=70;" parent="1" vertex="1">
+ <mxGeometry x="100" y="70" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="dLFhgi6bJzkJ-L9wjGwB-6" value="ppu_sprite_fg" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacingRight=2;" parent="1" vertex="1">
+ <mxGeometry y="110" width="100" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="dLFhgi6bJzkJ-L9wjGwB-8" value="HIT (real)" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fillStyle=auto;rounded=0;shadow=0;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="340" y="110" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="KaSe4TMXn0dfQqhvJRLf-5" value="10" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
+ <mxGeometry x="900" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="KaSe4TMXn0dfQqhvJRLf-18" value="ppu_dispctl" style="text;html=1;strokeColor=none;fillColor=none;align=right;verticalAlign=middle;whiteSpace=wrap;rounded=0;spacingRight=2;" parent="1" vertex="1">
+ <mxGeometry y="150" width="100" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="KaSe4TMXn0dfQqhvJRLf-19" value="scanline buffer write enable" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;fillStyle=auto;rounded=0;shadow=0;fontStyle=4" parent="1" vertex="1">
+ <mxGeometry x="980" y="150" width="160" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="Ze_sJlmgsXVQ9VDBg_TK-1" value="idle" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;opacity=70;dashed=1;textOpacity=70;" parent="1" vertex="1">
+ <mxGeometry x="340" y="70" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="3W_A2za98pmYs2U0zmyX-1" value="idle" style="shape=hexagon;perimeter=hexagonPerimeter2;whiteSpace=wrap;html=1;fixedSize=1;size=10;opacity=70;dashed=1;textOpacity=70;" parent="1" vertex="1">
+ <mxGeometry x="340" y="30" width="80" height="30" as="geometry" />
+ </mxCell>
+ <mxCell id="IbhH1XF3vQQQu7d6qqs4-1" value="11" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+ <mxGeometry x="980" width="80" height="20" as="geometry" />
+ </mxCell>
+ <mxCell id="IbhH1XF3vQQQu7d6qqs4-3" value="12" style="text;html=1;strokeColor=none;fillColor=none;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
+ <mxGeometry x="1060" width="80" height="20" as="geometry" />
+ </mxCell>
+ </root>
+ </mxGraphModel>
+ </diagram>
+</mxfile>
diff --git a/src/demo.c b/src/demo.c
index dbaf2ca..cf6f4a0 100644
--- a/src/demo.c
+++ b/src/demo.c
@@ -9,6 +9,7 @@
hh_s_ppu_loc_fam_entry g_hh_demo_balls[HH_DEMO_BALL_COUNT];
void hh_demo_setup() {
+ return;
// load sprites
hh_ppu_update_sprite(1, HH_DBG_SPRITE_BALL);
hh_ppu_update_sprite(2, HH_DBG_SPRITE_CHECKERBOARD);
@@ -43,6 +44,7 @@ void hh_demo_setup() {
}
void hh_demo_loop(unsigned long frame) {
+ return;
// if (frame % 300 == 0) hh_demo_setup();
//
// if (frame > 1) return;
diff --git a/src/main.c b/src/main.c
index 0676dd6..37a8719 100644
--- a/src/main.c
+++ b/src/main.c
@@ -2,13 +2,13 @@
#include "main.h"
#include "demo.h"
+#include "ppu/internals.h"
#include "ppu/ppu.h"
#include "input.h"
+#include "../test/ppu-stm-integration-demo/data.h"
#ifdef HH_TARGET_DESKTOP
#include <stdio.h>
-#include "../test/ppu-stm-integration-demo/data.h"
-#include "ppu/internals.h"
#endif
bool g_hh_run = true;
@@ -17,26 +17,21 @@ volatile unsigned short g_hh_hcount;
void hh_ppu_vblank_interrupt() {
static unsigned long frame = 0;
-#ifdef HH_TARGET_STM32
- hh_ppu_flush();
- hh_input_read();
- hh_demo_loop(frame);
-#endif
-#ifdef HH_TARGET_DESKTOP
- static bool done = false;
- //hh_ppu_vram_dwrite((uint8_t*) HH_PPUINTDEMO_ARR, HH_PPUINTDEMO_LENGTH);
- for (unsigned int limit = 0; limit < 1000; limit++) {
- if (frame * 4 >= HH_PPUINTDEMO_LENGTH) {
- if (!done) printf("done\n");
- done = true;
- return;
+// #ifdef HH_TARGET_STM32
+// hh_ppu_flush();
+// hh_input_read();
+// hh_demo_loop(frame);
+// #endif
+ // if (frame > 1) return;
+ for (size_t i = 0; i < HH_PPUINTDEMO_LENGTH; i += 4) {
+ uint8_t* d = HH_PPUINTDEMO_ARR + i;
+ if (d[0] == 0xff && d[1] == 0xff && d[2] == 0xff && d[3] == 0xff) {
+ hh_ppu_flush();
+ } else {
+ hh_ppu_vram_buffer(d);
}
- hh_ppu_vram_dwrite((uint8_t*) HH_PPUINTDEMO_ARR + frame * 4, 4);
- uint8_t* d = HH_PPUINTDEMO_ARR + frame * 4;
- if (d[0] == 0xff && d[1] == 0xff && d[2] == 0xff && d[3] == 0xff) break; // run all commands until flush
- else frame++;
}
-#endif
+ hh_ppu_flush();
frame++;
}
diff --git a/src/stm32/setup.c b/src/stm32/setup.c
index 6975592..7b9f35a 100644
--- a/src/stm32/setup.c
+++ b/src/stm32/setup.c
@@ -34,7 +34,7 @@ SPI_HandleTypeDef hspi1 = {
.Init.CLKPolarity = SPI_POLARITY_LOW,
.Init.CLKPhase = SPI_PHASE_1EDGE,
.Init.NSS = SPI_NSS_SOFT,
- .Init.BaudRatePrescaler = SPI_BAUDRATEPRESCALER_2,
+ .Init.BaudRatePrescaler = SPI_BAUDRATEPRESCALER_16,
.Init.FirstBit = SPI_FIRSTBIT_MSB,
.Init.TIMode = SPI_TIMODE_DISABLE,
.Init.CRCCalculation = SPI_CRCCALCULATION_DISABLE,
diff --git a/test/ppu-stm-integration-demo/capture-debug-6.pvc b/test/ppu-stm-integration-demo/capture-debug-6.pvc
new file mode 100644
index 0000000..5921dd1
--- /dev/null
+++ b/test/ppu-stm-integration-demo/capture-debug-6.pvc
@@ -0,0 +1,152 @@
+0-4403099 SPI: MOSI transfer: FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
+4403216-4582197 SPI: MOSI transfer: DE 00 00 00 DE 01 00 04 FF FF FF FF DE 00 00 00 DE 01 00 00 FF FF FF FF
+4582315-4672529 SPI: MOSI transfer: DE 00 00 00 DE 01 00 00 FF FF FF FF
+4672647-4939301 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+4939418-5206073 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+5206191-5472852 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+5472970-5739623 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+5739741-6006397 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+6006515-6273172 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+6273289-6539945 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+6540063-6806715 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+6806833-7073492 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+7073609-7340267 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+7340385-7607042 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+7607159-7873812 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+7873930-8140587 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+8140704-8407361 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+8407479-8674132 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+8674250-8940908 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+8941025-9207679 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+9207797-9474454 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+9474571-9741229 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+9741347-10008002 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+10008120-10274775 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+10274893-10541550 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+10541668-10808323 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+10808441-11075096 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+11075214-11341873 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+11341991-11608643 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+11608760-11875421 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+11875539-12142194 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+12142312-12408966 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+12409084-12675738 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+12675855-12942513 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+12942630-13209288 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+13209405-13476059 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+13476177-13742832 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+13742950-14009607 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+14009725-14276385 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+14276503-14543143 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+14543261-14809928 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+14810046-25891685 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
+25891802-26014499 SPI: MOSI transfer: DE 00 00 00 DE 01 00 04 FF FF FF FF DE 00 00 00 DE 01 00 00 FF FF FF FF
+26014617-26071077 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+26071194-26281272 SPI: MOSI transfer: DE 00 00 00 DE 01 00 00 FF FF FF FF
+26281390-26548047 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+26548165-26814823 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+26814941-27081592 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+27081710-27348365 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+27348483-27615141 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+27615258-27881915 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+27882033-28148688 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+28148805-28415458 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+28415576-28682235 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+28682353-28949010 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+28949128-29215781 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+29215898-29482557 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+29482675-29749330 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+29749448-30016105 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+30016222-30282878 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+30282996-30549650 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+30549767-30816426 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+30816544-31083196 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+31083314-31349968 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+31350086-31616745 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+31616863-31883518 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+31883635-32150291 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+32150409-32417067 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+32417185-32683841 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+32683959-32950615 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+32950733-33217389 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+33217506-33484163 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+33484281-33750933 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+33751051-34017713 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+34017831-34284483 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+34284601-34551256 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+34551373-34818029 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+34818147-35084804 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+35084921-35351575 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+35351693-35618348 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+35618466-35885121 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+35885239-36151896 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+36152014-36418674 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+36418792-36685447 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+36685565-36952218 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+36952335-37218994 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+37219112-37485768 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+37485885-37752542 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+37752660-44208805 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
+44208923-44388185 SPI: MOSI transfer: DE 00 00 00 DE 01 00 04 FF FF FF FF DE 00 00 00 DE 01 00 00 FF FF FF FF
+44388302-44421957 SPI: MOSI transfer: DE 00 00 00 DE 01 00 00 FF FF FF FF
+44422075-44688730 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+44688848-44955505 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+44955623-45222275 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+45222393-45489053 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+45489171-45755827 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+45755944-46022599 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+46022717-46289369 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+46289486-46556148 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+46556265-46822922 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+46823040-47089694 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+47089812-47356466 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+47356584-47623241 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+47623359-47890013 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+47890131-48156788 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+48156906-48423557 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+48423675-48690336 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+48690453-48957111 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+48957228-49223879 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+49223997-49490655 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+49490773-49757427 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+49757545-50024202 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+50024320-50290976 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+50291094-50557749 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+50557867-50824521 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+50824639-51091296 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+51091413-51358072 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+51358190-51624845 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+51624962-51891620 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+51891737-52158394 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+52158512-52425165 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+52425283-52691938 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+52692056-52958714 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+52958831-53225487 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+53225604-53492261 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+53492379-53759032 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+53759150-54025809 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+54025927-54292581 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+54292698-54559351 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+54559469-54826127 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+54826244-55092903 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+55093021-55359676 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+55359793-55626450 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+55626567-55893221 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+55893339-56159996 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+56160114-56426770 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+56426888-56693546 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+56693664-56960317 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+56960435-57227094 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+57227212-57493866 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+57493984-57760637 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+57760755-58027412 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+58027530-58294187 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+58294305-58560958 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+58561076-58827732 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+58827849-59094509 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+59094627-59361280 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+59361398-59628055 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+59628173-59894828 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+59894946-60161604 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+60161722-60428376 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF
+60428494-60695149 SPI: MOSI transfer: 00 34 00 00 00 35 12 49 00 36 00 01 00 37 10 00 00 38 12 49 00 39 02 49 00 3A 10 00 00 3B 12 49 00 3C 12 49 00 3D 10 01 00 3E 12 49 00 3F 12 49 00 40 00 49 00 41 12 49 00 42 12 49 00 43 02 49 00 44 12 49 00 45 12 49 00 46 12 49 00 47 12 49 00 48 12 49 00 49 12 49 00 4A 12 49 00 4B 12 49 00 4C 12 49 00 4D 12 49 00 4E 12 49 00 4F 12 49 00 50 12 49 00 51 12 49 00 52 02 49 00 53 12 49 00 54 12 49 00 55 12 49 00 56 12 41 00 57 12 41 00 58 00 49 00 59 10 00 00 5A 12 01 00 5B 12 49 00 5C 10 49 00 5D 00 09 00 5E 12 49 00 5F 10 49 00 60 00 09 00 61 12 40 00 62 12 49 00 63 00 09 00 64 00 00 00 65 12 49 00 66 00 01 00 67 00 00 DC 00 00 F0 DC 01 0F 0F D8 20 00 01 D8 21 02 02 DE 00 00 00 DE 01 00 00 FF FF FF FF