aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorlonkaars <loek@pipeframe.xyz>2023-04-04 18:04:41 +0200
committerlonkaars <loek@pipeframe.xyz>2023-04-04 18:04:41 +0200
commitbda744dc3ed0490bcbb22b22147b25e68a789f16 (patch)
tree5fa2c1f133c4acfda55f2c51e4d77cdca112de61
parent9c0a8622c26743583966b653704d5bfc8b4c0c7d (diff)
remove pull down resistor for SPI SR and test new SPI transmit
-rw-r--r--basys3/basys3.srcs/ppu_dispctl.vhd13
-rw-r--r--basys3/basys3.xpr22
-rw-r--r--basys3/ppu_tb_behav.wcfg16
-rw-r--r--docs/hardware/hardware.kicad_pcb72
-rw-r--r--docs/hardware/hardware.kicad_prl1
-rw-r--r--docs/hardware/hardware.kicad_sch246
-rw-r--r--src/demo.c6
-rw-r--r--src/ppu/stm.c10
8 files changed, 122 insertions, 264 deletions
diff --git a/basys3/basys3.srcs/ppu_dispctl.vhd b/basys3/basys3.srcs/ppu_dispctl.vhd
index 1465a58..ce53557 100644
--- a/basys3/basys3.srcs/ppu_dispctl.vhd
+++ b/basys3/basys3.srcs/ppu_dispctl.vhd
@@ -15,7 +15,7 @@ entity ppu_dispctl is port(
RO,GO,BO : out std_logic_vector(PPU_COLOR_OUTPUT_DEPTH-1 downto 0); -- VGA color out
NVSYNC, NHSYNC : out std_logic; -- VGA sync out
- THBLANK, TVBLANK : out std_logic; -- tiny sync signals
+ THBLANK, TVBLANK : out std_logic := '0'; -- tiny sync signals
ACTIVE : out std_logic); -- screen currently active (currently same for tiny/native, TODO: offset tiny for first scanline)
end ppu_dispctl;
@@ -73,7 +73,6 @@ begin
-- tiny VCOUNT and HCOUNT
process(TPIXCLK, NPIXCLK, RESET)
variable TMP_T_POS_X : unsigned(PPU_SCREEN_T_POS_X_WIDTH-1 downto 0) := (others => '0');
- variable TMP_THBLANK, TMP_TVBLANK : std_logic := '0';
variable TMP_NHCOUNT, TMP_NVCOUNT : unsigned(PPU_VGA_SIGNAL_PIXEL_WIDTH-1 downto 0) := (others => '0');
variable TMP_NHACTIVE, TMP_NVACTIVE : std_logic := '0';
variable TMP_NHSYNC, TMP_NVSYNC : std_logic := '0';
@@ -84,8 +83,6 @@ begin
TMP_NVCOUNT := (others => '0');
TMP_NHACTIVE := '0';
TMP_NVACTIVE := '0';
- TMP_THBLANK := '0'; -- TODO
- TMP_TVBLANK := '0'; -- TODO
TMP_NVSYNC := '0';
TMP_NHSYNC := '0';
end if;
@@ -135,9 +132,6 @@ begin
if falling_edge(TPIXCLK) then -- NOTE: falling edge used because of clock offset of 90 (should be 270)
T_POS_X <= TMP_T_POS_X;
- THBLANK <= TMP_THBLANK;
- TVBLANK <= TMP_TVBLANK;
-
if TMP_NACTIVE = '1' then
TMP_T_POS_X := TMP_T_POS_X + 1;
if TMP_T_POS_X >= PPU_SCREEN_WIDTH then
@@ -165,4 +159,9 @@ begin
reset => RESET,
npxclk => NPIXCLK,
tpxclk => TPIXCLK);
+
+ THBLANK <= (not NHACTIVE) and NVACTIVE and nor(T_POS_X);
+ TVBLANK <= not NVACTIVE;
+ --THBLANK <= '0';
+ --TVBLANK <= '0';
end Behavioral;
diff --git a/basys3/basys3.xpr b/basys3/basys3.xpr
index 852d6c3..6f6275c 100644
--- a/basys3/basys3.xpr
+++ b/basys3/basys3.xpr
@@ -60,7 +60,7 @@
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
<Option Name="EnableBDX" Val="FALSE"/>
<Option Name="DSABoardId" Val="basys3"/>
- <Option Name="WTXSimLaunchSim" Val="124"/>
+ <Option Name="WTXSimLaunchSim" Val="133"/>
<Option Name="WTModelSimLaunchSim" Val="0"/>
<Option Name="WTQuestaLaunchSim" Val="0"/>
<Option Name="WTIesLaunchSim" Val="0"/>
@@ -259,14 +259,6 @@
</FileSet>
<FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
<Filter Type="Utils"/>
- <File Path="$PSRCDIR/utils_1/imports/synth_3/top.dcp">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- <Attr Name="UsedInSteps" Val="synth_3"/>
- <Attr Name="AutoDcp" Val="1"/>
- </FileInfo>
- </File>
<Config>
<Option Name="TopAutoSet" Val="TRUE"/>
</Config>
@@ -390,9 +382,11 @@
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
<RQSFiles/>
</Run>
- <Run Id="synth_3" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_3/top.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_3" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_3" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_3">
+ <Run Id="synth_4" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_4" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_4" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_4">
<Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+ <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022">
+ <Desc>Vivado Synthesis Defaults</Desc>
+ </StratHandle>
<Step Id="synth_design"/>
</Strategy>
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
@@ -468,9 +462,11 @@
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
<RQSFiles/>
</Run>
- <Run Id="impl_3" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_3" SynthRun="synth_3" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_3" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_3">
+ <Run Id="impl_4" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_4" SynthRun="synth_4" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_4" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_4">
<Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
+ <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022">
+ <Desc>Default settings for Implementation.</Desc>
+ </StratHandle>
<Step Id="init_design"/>
<Step Id="opt_design"/>
<Step Id="power_opt_design"/>
diff --git a/basys3/ppu_tb_behav.wcfg b/basys3/ppu_tb_behav.wcfg
index afd33be..c359e87 100644
--- a/basys3/ppu_tb_behav.wcfg
+++ b/basys3/ppu_tb_behav.wcfg
@@ -13,13 +13,13 @@
</db_ref>
</db_ref_list>
<zoom_setting>
- <ZoomStartTime time="925.165500 us"></ZoomStartTime>
- <ZoomEndTime time="937.055501 us"></ZoomEndTime>
- <Cursor1Time time="930.945500 us"></Cursor1Time>
+ <ZoomStartTime time="136.205000 us"></ZoomStartTime>
+ <ZoomEndTime time="1,382.205001 us"></ZoomEndTime>
+ <Cursor1Time time="1,058.205000 us"></Cursor1Time>
</zoom_setting>
<column_width_setting>
<NameColumnWidth column_width="243"></NameColumnWidth>
- <ValueColumnWidth column_width="145"></ValueColumnWidth>
+ <ValueColumnWidth column_width="141"></ValueColumnWidth>
</column_width_setting>
<WVObjectSize size="6" />
<wvobject type="group" fp_name="group136">
@@ -436,6 +436,14 @@
<obj_property name="ElementShortName">ACTIVE</obj_property>
<obj_property name="ObjectShortName">ACTIVE</obj_property>
</wvobject>
+ <wvobject type="logic" fp_name="/ppu_tb/uut/display_controller/THBLANK">
+ <obj_property name="ElementShortName">THBLANK</obj_property>
+ <obj_property name="ObjectShortName">THBLANK</obj_property>
+ </wvobject>
+ <wvobject type="logic" fp_name="/ppu_tb/uut/display_controller/TVBLANK">
+ <obj_property name="ElementShortName">TVBLANK</obj_property>
+ <obj_property name="ObjectShortName">TVBLANK</obj_property>
+ </wvobject>
</wvobject>
</wvobject>
<wvobject type="group" fp_name="group115">
diff --git a/docs/hardware/hardware.kicad_pcb b/docs/hardware/hardware.kicad_pcb
index 0f8baf4..e4f43a2 100644
--- a/docs/hardware/hardware.kicad_pcb
+++ b/docs/hardware/hardware.kicad_pcb
@@ -295,72 +295,6 @@
)
)
- (footprint "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (layer "F.Cu")
- (tstamp 4ebe131e-54f9-41ac-8076-b34072b01678)
- (at 30.48 45.72)
- (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=7.62mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf")
- (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 7.62mm 0.25W = 1/4W length 6.3mm diameter 2.5mm")
- (property "Sheetfile" "hardware.kicad_sch")
- (property "Sheetname" "")
- (property "ki_description" "Resistor")
- (property "ki_keywords" "R res resistor")
- (path "/4a38ce89-4d77-4ff5-b902-e495e3659323")
- (attr through_hole)
- (fp_text reference "R2" (at 0 -2.37) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 69618266-d617-4ae3-9709-c7919094f32c)
- )
- (fp_text value "R" (at 3.81 2.37) (layer "F.Fab") hide
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp eb6e392c-b90c-4ebf-8a39-06be8cea8ecf)
- )
- (fp_text user "${REFERENCE}" (at 3.81 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- (tstamp 506353fd-48f1-4106-b8ac-2233f914e2ea)
- )
- (fp_line (start 0.54 -1.37) (end 7.08 -1.37)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2555886-ac18-4832-8f51-328540e00162))
- (fp_line (start 0.54 -1.04) (end 0.54 -1.37)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f2a870e-952f-4a68-a14e-33476883cc92))
- (fp_line (start 0.54 1.04) (end 0.54 1.37)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df7dcc22-4d65-4b43-b6ec-6d5ce57e5603))
- (fp_line (start 0.54 1.37) (end 7.08 1.37)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8a35f4d-7c27-4f46-92ad-f0fdce48068b))
- (fp_line (start 7.08 -1.37) (end 7.08 -1.04)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a9db5d1c-dcc0-4e3e-a6ef-78e496002d2e))
- (fp_line (start 7.08 1.37) (end 7.08 1.04)
- (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3e12ae6-93b5-454a-96fd-1053b081b7fa))
- (fp_line (start -1.05 -1.5) (end -1.05 1.5)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e9e15db-420d-4978-a5d1-ecb1f7436127))
- (fp_line (start -1.05 1.5) (end 8.67 1.5)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d30944ae-8a8a-497f-aa8d-7022417adf71))
- (fp_line (start 8.67 -1.5) (end -1.05 -1.5)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15eac414-97ef-4656-8d77-0bc04b939a26))
- (fp_line (start 8.67 1.5) (end 8.67 -1.5)
- (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20d085ab-99dc-4b87-9125-a7c43d32f547))
- (fp_line (start 0 0) (end 0.66 0)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2081505c-7672-4485-910d-583b05360b26))
- (fp_line (start 0.66 -1.25) (end 0.66 1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 502b7ccf-16a1-446e-9523-cb618eb3ddd8))
- (fp_line (start 0.66 1.25) (end 6.96 1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92094a22-6934-40f8-b089-540ce65c8149))
- (fp_line (start 6.96 -1.25) (end 0.66 -1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f73a2f3c-3237-4488-8fba-5dedbb8a2b55))
- (fp_line (start 6.96 1.25) (end 6.96 -1.25)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e26f0b0-63e6-4e43-90bc-36392b2f11f9))
- (fp_line (start 7.62 0) (end 6.96 0)
- (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7733867d-2bd7-4c58-bc85-2c54be0f6592))
- (pad "1" thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers "*.Cu" "*.Mask")
- (net 6 "GND") (pintype "passive") (tstamp 66a0d9ee-f03a-46a4-b9c1-d79dd56cb20a))
- (pad "2" thru_hole oval (at 7.62 0) (size 1.6 1.6) (drill 0.8) (layers "*.Cu" "*.Mask")
- (net 10 "SPI_SR") (pintype "passive") (tstamp 365aed4a-77bd-4d88-9753-5f97f9b218e6))
- (model "${KICAD6_3DMODEL_DIR}/Resistor_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal.wrl"
- (offset (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
(footprint "Connector_PinHeader_2.54mm:PinHeader_2x04_P2.54mm_Vertical" (layer "F.Cu")
(tstamp 5a570195-9e23-42f8-8068-b04b37ddd527)
(at 58.42 58.42 180)
@@ -1539,14 +1473,12 @@
(segment (start 35.56 63.5) (end 35.56 50.8) (width 0.25) (layer "F.Cu") (net 4) (tstamp f6b3a45d-ab5e-4943-807f-3721579c7b32))
(via (at 35.56 63.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 7d0e0153-fdcb-4bf5-9879-4f900c525f60))
(segment (start 35.56 66.04) (end 35.56 63.5) (width 0.25) (layer "B.Cu") (net 4) (tstamp 007d7c97-2f15-4a2d-b74b-2324a077d066))
- (segment (start 27.94 45.72) (end 27.94 38.1) (width 0.25) (layer "F.Cu") (net 6) (tstamp 0b254a07-18bb-45c4-9573-7e23eb54fd5f))
(segment (start 111.76 83.82) (end 109.22 86.36) (width 0.25) (layer "F.Cu") (net 6) (tstamp 0e2f8487-821f-4980-8996-6ffcec039f8e))
(segment (start 27.94 20.32) (end 27.94 35.56) (width 0.25) (layer "F.Cu") (net 6) (tstamp 22eab51a-14ab-4156-8ae1-e9f6fb87e877))
(segment (start 106.68 78.74) (end 111.76 83.82) (width 0.25) (layer "F.Cu") (net 6) (tstamp 6bf39048-2bc0-4a75-b80a-db2a4df4116d))
(segment (start 109.22 86.36) (end 99.06 86.36) (width 0.25) (layer "F.Cu") (net 6) (tstamp a5eba4d9-9324-49d7-adc2-47964079fede))
(segment (start 27.94 35.56) (end 27.94 38.1) (width 0.25) (layer "F.Cu") (net 6) (tstamp b4885c57-2cbf-4b6e-b47c-e9fc854f5b64))
(segment (start 104.26 78.74) (end 106.68 78.74) (width 0.25) (layer "F.Cu") (net 6) (tstamp c87b0d52-9112-490f-a93a-3d54e0b89559))
- (segment (start 30.48 45.72) (end 27.94 45.72) (width 0.25) (layer "F.Cu") (net 6) (tstamp c9af5f32-86ab-4875-b2a3-ce946bf869b8))
(segment (start 99.06 86.36) (end 99.06 88.9) (width 0.25) (layer "F.Cu") (net 6) (tstamp ce078426-027d-4d63-bcce-7aed16e11f5b))
(segment (start 99.06 88.9) (end 86.36 88.9) (width 0.25) (layer "F.Cu") (net 6) (tstamp e0149dac-3804-4a41-aa25-d25d749a8ccb))
(via (at 27.94 20.32) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 6) (tstamp cb79c0b8-e210-44c1-9a63-3c5c8a5e3849))
@@ -1564,12 +1496,8 @@
(via (at 45.72 20.32) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 05437b32-3c80-4083-93be-8bfeec812416))
(segment (start 45.72 17.78) (end 45.72 20.32) (width 0.25) (layer "B.Cu") (net 9) (tstamp 9d272a6f-f541-4eb2-9e9e-2aa6d8dfdb27))
(segment (start 43.18 38.1) (end 38.1 38.1) (width 0.25) (layer "F.Cu") (net 10) (tstamp 060badf2-a830-4185-83aa-83df1def6fff))
- (segment (start 38.1 45.72) (end 43.18 45.72) (width 0.25) (layer "F.Cu") (net 10) (tstamp 9137b6d1-4139-41ce-8731-bd0e10344a5d))
(segment (start 43.18 20.32) (end 43.18 38.1) (width 0.25) (layer "F.Cu") (net 10) (tstamp c3f60514-b242-4be8-aa53-991cc2dd701a))
- (via (at 43.18 38.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 14afeeef-a840-452f-bb05-44a4315f59d8))
(via (at 43.18 20.32) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp e684cc05-1982-4af0-90ec-2c26ab6a4ced))
- (via (at 43.18 45.72) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp ff776dc3-1897-456c-b523-4493fc450fde))
- (segment (start 43.18 45.72) (end 43.18 38.1) (width 0.25) (layer "B.Cu") (net 10) (tstamp 05a3a42c-4f01-4449-afc1-a8727c52b343))
(segment (start 43.18 19.3) (end 43.18 20.32) (width 0.25) (layer "B.Cu") (net 10) (tstamp 6c73a116-3c29-49f0-83da-77f4b3633008))
(segment (start 41.66 17.78) (end 43.18 19.3) (width 0.25) (layer "B.Cu") (net 10) (tstamp 959dffe5-e710-49fc-9245-d7670ba92844))
(segment (start 38.1 35.56) (end 40.64 35.56) (width 0.25) (layer "F.Cu") (net 11) (tstamp 498bb700-a90d-46d1-855a-7b13a491ddc4))
diff --git a/docs/hardware/hardware.kicad_prl b/docs/hardware/hardware.kicad_prl
index 82c76a8..2404d66 100644
--- a/docs/hardware/hardware.kicad_prl
+++ b/docs/hardware/hardware.kicad_prl
@@ -37,7 +37,6 @@
8,
9,
10,
- 11,
12,
13,
15,
diff --git a/docs/hardware/hardware.kicad_sch b/docs/hardware/hardware.kicad_sch
index 5da716f..77da87c 100644
--- a/docs/hardware/hardware.kicad_sch
+++ b/docs/hardware/hardware.kicad_sch
@@ -752,41 +752,38 @@
(junction (at 22.225 104.775) (diameter 0) (color 0 0 0 0)
(uuid 0c233170-6154-4f79-acdf-3beff8462c46)
)
- (junction (at 106.045 58.42) (diameter 0) (color 0 0 0 0)
+ (junction (at 102.87 64.77) (diameter 0) (color 0 0 0 0)
(uuid 2174cb6b-c79f-4d1c-96d8-b1adb3b7338d)
)
(junction (at 43.18 72.39) (diameter 0) (color 0 0 0 0)
(uuid 28058d45-2416-4bed-894d-3b8746e17ac3)
)
- (junction (at 101.6 31.75) (diameter 0) (color 0 0 0 0)
+ (junction (at 83.82 33.02) (diameter 0) (color 0 0 0 0)
(uuid 2a65d105-1d31-4fbd-9121-f50e11d148c1)
)
(junction (at 37.465 109.855) (diameter 0) (color 0 0 0 0)
(uuid 6a1708f3-813e-401c-b56f-c8f3202ae3ee)
)
- (junction (at 132.715 19.05) (diameter 0) (color 0 0 0 0)
- (uuid 813f68c6-a1f5-4a02-8fd0-3eebfcbf0256)
- )
(junction (at 22.225 93.345) (diameter 0) (color 0 0 0 0)
(uuid 85f57cc9-0615-4399-bcd6-7eddba943091)
)
- (junction (at 106.045 48.26) (diameter 0) (color 0 0 0 0)
+ (junction (at 102.87 54.61) (diameter 0) (color 0 0 0 0)
(uuid 8e37b9b4-e2c5-440a-907e-32c8e287d602)
)
(junction (at 65.405 104.775) (diameter 0) (color 0 0 0 0)
(uuid 92660bf0-e238-491d-b145-d29ffae46cfb)
)
- (junction (at 94.615 58.42) (diameter 0) (color 0 0 0 0)
+ (junction (at 91.44 64.77) (diameter 0) (color 0 0 0 0)
(uuid f9346bcf-bcee-4066-9d7e-5f218a6106bc)
)
- (no_connect (at 71.12 34.29) (uuid 0c613add-7daa-424e-b621-5e6ee9d213c3))
+ (no_connect (at 68.58 35.56) (uuid 0c613add-7daa-424e-b621-5e6ee9d213c3))
(wire (pts (xy 34.925 88.265) (xy 37.465 88.265))
(stroke (width 0) (type default))
(uuid 16285005-f8b0-46e4-8909-077c4ab4000d)
)
- (wire (pts (xy 106.045 58.42) (xy 106.045 57.15))
+ (wire (pts (xy 102.87 64.77) (xy 102.87 63.5))
(stroke (width 0) (type default))
(uuid 18f87e4d-7d47-4be2-8b86-ac34c86eeddc)
)
@@ -794,19 +791,19 @@
(stroke (width 0) (type default))
(uuid 2dd41dc3-6917-4de7-a1d0-4ca3bf5d20dd)
)
- (wire (pts (xy 99.06 29.21) (xy 101.6 29.21))
+ (wire (pts (xy 81.28 30.48) (xy 83.82 30.48))
(stroke (width 0) (type default))
(uuid 33605525-db96-4fc4-83db-762a9917be6a)
)
- (wire (pts (xy 101.6 34.29) (xy 101.6 31.75))
+ (wire (pts (xy 83.82 35.56) (xy 83.82 33.02))
(stroke (width 0) (type default))
(uuid 35bb4d6d-8452-40d3-845e-5b5e3c8e88df)
)
- (wire (pts (xy 94.615 58.42) (xy 94.615 63.5))
+ (wire (pts (xy 91.44 64.77) (xy 91.44 69.85))
(stroke (width 0) (type default))
(uuid 3635d179-f84b-4254-a3d9-74230330d7ca)
)
- (wire (pts (xy 104.775 48.26) (xy 106.045 48.26))
+ (wire (pts (xy 101.6 54.61) (xy 102.87 54.61))
(stroke (width 0) (type default))
(uuid 37b0f2c3-b47b-4fa0-91c8-f959a6123d1a)
)
@@ -814,7 +811,7 @@
(stroke (width 0) (type default))
(uuid 3d78f865-b244-4467-85a5-f134a6fe7a8b)
)
- (wire (pts (xy 116.84 29.21) (xy 116.84 34.29))
+ (wire (pts (xy 99.06 30.48) (xy 99.06 35.56))
(stroke (width 0) (type default))
(uuid 441703d7-220a-4fd3-842e-3e872a353b0f)
)
@@ -822,7 +819,7 @@
(stroke (width 0) (type default))
(uuid 46da50f0-86f5-4f8b-8cc1-b68830c8a1ff)
)
- (wire (pts (xy 106.045 49.53) (xy 106.045 48.26))
+ (wire (pts (xy 102.87 55.88) (xy 102.87 54.61))
(stroke (width 0) (type default))
(uuid 4b12ac7b-e0d9-45b4-940d-e5c4a5788c9f)
)
@@ -854,7 +851,7 @@
(stroke (width 0) (type default))
(uuid 6b60a3c2-f6e1-4ace-b7a4-4ccfedb6e858)
)
- (wire (pts (xy 106.045 58.42) (xy 114.935 58.42))
+ (wire (pts (xy 102.87 64.77) (xy 111.76 64.77))
(stroke (width 0) (type default))
(uuid 7002e2ec-74e0-4dab-931f-b453f446fdc0)
)
@@ -866,11 +863,11 @@
(stroke (width 0) (type default))
(uuid 7474c9f5-01bd-4d96-9b62-a478f4ef2f1e)
)
- (wire (pts (xy 114.3 31.75) (xy 114.3 34.29))
+ (wire (pts (xy 96.52 33.02) (xy 96.52 35.56))
(stroke (width 0) (type default))
(uuid 767dea16-ef59-4c31-b22e-cf863b1a2acf)
)
- (wire (pts (xy 114.935 48.26) (xy 106.045 48.26))
+ (wire (pts (xy 111.76 54.61) (xy 102.87 54.61))
(stroke (width 0) (type default))
(uuid 7d445739-65e9-43b3-8322-97804387d87c)
)
@@ -882,7 +879,7 @@
(stroke (width 0) (type default))
(uuid 8ffb4e9e-de79-4da4-a3f1-28ecd5e83532)
)
- (wire (pts (xy 81.28 31.75) (xy 101.6 31.75))
+ (wire (pts (xy 78.74 33.02) (xy 83.82 33.02))
(stroke (width 0) (type default))
(uuid 924184e5-29ca-445c-83b0-44222303bb1b)
)
@@ -898,19 +895,15 @@
(stroke (width 0) (type default))
(uuid a5a8d692-848a-403e-b59a-4ea817b451e5)
)
- (wire (pts (xy 114.3 19.05) (xy 132.715 19.05))
- (stroke (width 0) (type default))
- (uuid a9673678-eb5a-405d-b2b5-32327d016d7d)
- )
- (wire (pts (xy 76.2 58.42) (xy 76.2 63.5))
+ (wire (pts (xy 73.025 64.77) (xy 73.025 69.85))
(stroke (width 0) (type default))
(uuid ac1aedcc-2801-45d3-9fb9-15fd1abd4b30)
)
- (wire (pts (xy 76.2 58.42) (xy 79.375 58.42))
+ (wire (pts (xy 73.025 64.77) (xy 76.2 64.77))
(stroke (width 0) (type default))
(uuid b017a04b-7ba1-44ed-a20b-ebda66976560)
)
- (wire (pts (xy 92.075 48.26) (xy 97.155 48.26))
+ (wire (pts (xy 88.9 54.61) (xy 93.98 54.61))
(stroke (width 0) (type default))
(uuid b056940d-08b7-4eb9-8d4f-941533d27271)
)
@@ -926,7 +919,7 @@
(stroke (width 0) (type default))
(uuid c1ccb75d-fe3a-46ba-8728-27d04d458fa3)
)
- (wire (pts (xy 94.615 58.42) (xy 106.045 58.42))
+ (wire (pts (xy 91.44 64.77) (xy 102.87 64.77))
(stroke (width 0) (type default))
(uuid c34692e8-e9c5-4e2b-a88a-b5369411831d)
)
@@ -934,15 +927,11 @@
(stroke (width 0) (type default))
(uuid c37f5489-40eb-4066-87d8-f6cf6394b826)
)
- (wire (pts (xy 132.715 19.05) (xy 137.16 19.05))
- (stroke (width 0) (type default))
- (uuid c84af31a-a46d-4974-b3b4-2a79dfa0a90a)
- )
(wire (pts (xy 52.705 102.235) (xy 55.245 102.235))
(stroke (width 0) (type default))
(uuid ca7a208b-a3bd-40b8-bfc3-1729de7d952e)
)
- (wire (pts (xy 114.3 34.29) (xy 101.6 34.29))
+ (wire (pts (xy 96.52 35.56) (xy 83.82 35.56))
(stroke (width 0) (type default))
(uuid d209aac4-ea90-44f6-b831-997f75c692cc)
)
@@ -958,15 +947,15 @@
(stroke (width 0) (type default))
(uuid d445f0f7-2aab-4e79-98e1-8360cf8d3a7b)
)
- (wire (pts (xy 114.935 58.42) (xy 114.935 50.8))
+ (wire (pts (xy 111.76 64.77) (xy 111.76 57.15))
(stroke (width 0) (type default))
(uuid d6fe7fd7-07cb-4b0e-b3fc-5762e8fbe616)
)
- (wire (pts (xy 99.06 29.21) (xy 99.06 34.29))
+ (wire (pts (xy 81.28 30.48) (xy 81.28 35.56))
(stroke (width 0) (type default))
(uuid e31c03ce-f409-4173-851d-158a3ce3f417)
)
- (wire (pts (xy 114.3 29.21) (xy 116.84 29.21))
+ (wire (pts (xy 96.52 30.48) (xy 99.06 30.48))
(stroke (width 0) (type default))
(uuid f8885bb5-7050-4b23-af4c-f7697c057416)
)
@@ -974,7 +963,7 @@
(stroke (width 0) (type default))
(uuid f9db127e-de5f-40c0-83b0-43004a7b3d65)
)
- (wire (pts (xy 92.075 58.42) (xy 94.615 58.42))
+ (wire (pts (xy 88.9 64.77) (xy 91.44 64.77))
(stroke (width 0) (type default))
(uuid fc704422-e8f0-485b-a194-0746bf18debc)
)
@@ -1007,10 +996,10 @@
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
- (global_label "PPU_HBLANK" (shape input) (at 114.3 21.59 0) (fields_autoplaced)
+ (global_label "PPU_HBLANK" (shape input) (at 96.52 22.86 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 17f34a4f-43f7-40b2-bd74-4dd5298a2c4d)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 129.3616 21.59 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 111.5816 22.86 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
@@ -1091,10 +1080,10 @@
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
- (global_label "unused" (shape input) (at 114.3 24.13 0) (fields_autoplaced)
+ (global_label "unused" (shape input) (at 96.52 25.4 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 65f29d3c-4682-4c30-ab50-ba4b25f81ac6)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 123.9185 24.13 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 106.1385 25.4 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
@@ -1105,17 +1094,17 @@
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
- (global_label "SPI_CLK" (shape input) (at 101.6 24.13 180) (fields_autoplaced)
+ (global_label "SPI_CLK" (shape input) (at 83.82 25.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8004fd75-c5f3-47c9-b252-91cf505302f3)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 91.0742 24.13 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.2942 25.4 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
- (global_label "PPU_VBLANK" (shape input) (at 101.6 19.05 180) (fields_autoplaced)
+ (global_label "PPU_VBLANK" (shape input) (at 83.82 20.32 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 80120ee8-3dfe-41d9-a72a-9be0216f20b3)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.7803 19.05 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 69.0003 20.32 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
@@ -1189,17 +1178,17 @@
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
- (global_label "SPI_MOSI" (shape input) (at 101.6 21.59 180) (fields_autoplaced)
+ (global_label "SPI_MOSI" (shape input) (at 83.82 22.86 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c4f0a690-21fd-4d70-ba1b-8b23f6dcc364)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 90.0461 21.59 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.2661 22.86 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
- (global_label "SPI_SR" (shape input) (at 137.16 19.05 0) (fields_autoplaced)
+ (global_label "SPI_SR" (shape input) (at 96.52 20.32 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c786c23b-7648-4838-a747-4b793feda3f2)
- (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 146.5972 19.05 0)
+ (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 105.9572 20.32 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
@@ -1232,19 +1221,19 @@
)
)
- (symbol (lib_id "power:GND") (at 94.615 63.5 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 91.44 69.85 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 07a3f9f8-a4fe-4dc9-b155-663dc89e5351)
- (property "Reference" "#PWR06" (at 94.615 69.85 0)
+ (property "Reference" "#PWR06" (at 91.44 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (at 94.615 68.58 0)
+ (property "Value" "GND" (at 91.44 74.93 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "" (at 94.615 63.5 0)
+ (property "Footprint" "" (at 91.44 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (at 94.615 63.5 0)
+ (property "Datasheet" "" (at 91.44 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 11696d94-3c2b-413f-b2b8-45f794bb0d41))
@@ -1257,19 +1246,19 @@
)
)
- (symbol (lib_id "Switch:SW_SPDT") (at 76.2 31.75 0) (mirror y) (unit 1)
+ (symbol (lib_id "Switch:SW_SPDT") (at 73.66 33.02 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 105049d1-1340-44f8-ae8e-8ec4a4f135fd)
- (property "Reference" "SW7" (at 77.47 35.56 0)
+ (property "Reference" "SW7" (at 74.93 36.83 0)
(effects (font (size 1.27 1.27)))
)
- (property "Value" "STM_PWR_SOURCE" (at 71.12 38.1 0)
+ (property "Value" "STM_PWR_SOURCE" (at 68.58 39.37 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "Button_Switch_THT:SW_Slide_1P2T_CK_OS102011MS2Q" (at 76.2 31.75 0)
+ (property "Footprint" "Button_Switch_THT:SW_Slide_1P2T_CK_OS102011MS2Q" (at 73.66 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 76.2 31.75 0)
+ (property "Datasheet" "~" (at 73.66 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ebb572e7-818a-4da9-bbc0-952e916fe1eb))
@@ -1361,19 +1350,19 @@
)
)
- (symbol (lib_id "Connector_Audio:AudioJack2") (at 120.015 50.8 0) (mirror y) (unit 1)
+ (symbol (lib_id "Connector_Audio:AudioJack2") (at 116.84 57.15 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 3e67b72a-3d20-484f-a9eb-aef187654a16)
- (property "Reference" "J2" (at 125.095 49.53 0)
+ (property "Reference" "J2" (at 121.92 55.88 0)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Value" "AudioJack2" (at 125.095 52.07 0)
+ (property "Value" "AudioJack2" (at 121.92 58.42 0)
(effects (font (size 1.27 1.27)) (justify right))
)
- (property "Footprint" "Connector_Audio:Jack_3.5mm_CUI_SJ1-3523N_Horizontal" (at 120.015 50.8 0)
+ (property "Footprint" "Connector_Audio:Jack_3.5mm_CUI_SJ1-3523N_Horizontal" (at 116.84 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 120.015 50.8 0)
+ (property "Datasheet" "~" (at 116.84 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "S" (uuid 5f04d31a-cbcd-498b-825b-4ee78022c589))
@@ -1414,32 +1403,6 @@
)
)
- (symbol (lib_id "Device:R") (at 132.715 22.86 180) (unit 1)
- (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
- (uuid 4a38ce89-4d77-4ff5-b902-e495e3659323)
- (property "Reference" "R2" (at 135.255 22.225 0)
- (effects (font (size 1.27 1.27)) (justify right))
- )
- (property "Value" "R" (at 135.255 24.765 0)
- (effects (font (size 1.27 1.27)) (justify right))
- )
- (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (at 134.493 22.86 90)
- (effects (font (size 1.27 1.27)) hide)
- )
- (property "Datasheet" "~" (at 132.715 22.86 0)
- (effects (font (size 1.27 1.27)) hide)
- )
- (pin "1" (uuid e86b62ef-4c31-48d7-91d5-c99f479d8989))
- (pin "2" (uuid 15857540-9d2c-4540-bb89-8700731ad8ba))
- (instances
- (project "hardware"
- (path "/9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8"
- (reference "R2") (unit 1)
- )
- )
- )
- )
-
(symbol (lib_id "Switch:SW_Push_SPDT") (at 27.305 81.915 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 4e8ff737-e950-459a-8bae-7fb76a943341)
@@ -1523,19 +1486,19 @@
)
)
- (symbol (lib_id "power:VCC") (at 71.12 29.21 0) (unit 1)
+ (symbol (lib_id "power:VCC") (at 68.58 30.48 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 5f479914-e4f7-4815-8e01-a9a78c526859)
- (property "Reference" "#PWR08" (at 71.12 33.02 0)
+ (property "Reference" "#PWR08" (at 68.58 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "VCC" (at 71.12 25.4 0)
+ (property "Value" "VCC" (at 68.58 26.67 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "" (at 71.12 29.21 0)
+ (property "Footprint" "" (at 68.58 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (at 71.12 29.21 0)
+ (property "Datasheet" "" (at 68.58 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9e547581-a05c-4726-811a-fa0bd731c40a))
@@ -1548,19 +1511,19 @@
)
)
- (symbol (lib_id "Connector_Generic:Conn_02x06_Top_Bottom") (at 106.68 24.13 0) (unit 1)
+ (symbol (lib_id "Connector_Generic:Conn_02x06_Top_Bottom") (at 88.9 25.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 62426352-bbab-485a-87e4-f269b920c8e7)
- (property "Reference" "J1" (at 107.95 13.97 0)
+ (property "Reference" "J1" (at 90.17 15.24 0)
(effects (font (size 1.27 1.27)))
)
- (property "Value" "BASYS_JA" (at 107.95 16.51 0)
+ (property "Value" "BASYS_JA" (at 90.17 17.78 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Vertical" (at 106.68 24.13 0)
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Vertical" (at 88.9 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 106.68 24.13 0)
+ (property "Datasheet" "~" (at 88.9 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f5a57455-0c1f-49cf-88e8-c3b3fa62f8a9))
@@ -1584,19 +1547,19 @@
)
)
- (symbol (lib_id "Device:R") (at 100.965 48.26 90) (unit 1)
+ (symbol (lib_id "Device:R") (at 97.79 54.61 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 764d0f2f-e00d-4d6e-82b0-da17c8d83559)
- (property "Reference" "R1" (at 100.965 43.18 90)
+ (property "Reference" "R1" (at 97.79 49.53 90)
(effects (font (size 1.27 1.27)))
)
- (property "Value" "R" (at 100.965 45.72 90)
+ (property "Value" "R" (at 97.79 52.07 90)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (at 100.965 50.038 90)
+ (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (at 97.79 56.388 90)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 100.965 48.26 0)
+ (property "Datasheet" "~" (at 97.79 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid efe7aa07-cc4f-4dd5-abcd-f0f48bd60b88))
@@ -1610,19 +1573,19 @@
)
)
- (symbol (lib_id "power:GND") (at 116.84 34.29 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 99.06 35.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 7d38a0b2-21d4-409f-9477-120c1087fb75)
- (property "Reference" "#PWR07" (at 116.84 40.64 0)
+ (property "Reference" "#PWR07" (at 99.06 41.91 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (at 116.84 39.37 0)
+ (property "Value" "GND" (at 99.06 40.64 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "" (at 116.84 34.29 0)
+ (property "Footprint" "" (at 99.06 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (at 116.84 34.29 0)
+ (property "Datasheet" "" (at 99.06 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4b35c9a6-1fac-47b4-8558-16e87598963f))
@@ -1635,19 +1598,19 @@
)
)
- (symbol (lib_id "Device:C") (at 106.045 53.34 0) (unit 1)
+ (symbol (lib_id "Device:C") (at 102.87 59.69 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 88616a98-32a9-45a4-ad80-7b1dbb105eda)
- (property "Reference" "C1" (at 109.855 52.705 0)
+ (property "Reference" "C1" (at 106.68 59.055 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Value" "C" (at 109.855 55.245 0)
+ (property "Value" "C" (at 106.68 61.595 0)
(effects (font (size 1.27 1.27)) (justify left))
)
- (property "Footprint" "Capacitor_THT:C_Disc_D6.0mm_W2.5mm_P5.00mm" (at 107.0102 57.15 0)
+ (property "Footprint" "Capacitor_THT:C_Disc_D6.0mm_W2.5mm_P5.00mm" (at 103.8352 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 106.045 53.34 0)
+ (property "Datasheet" "~" (at 102.87 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2e4adc0b-f7e2-4883-97a8-f94df64dbd8a))
@@ -1661,19 +1624,19 @@
)
)
- (symbol (lib_id "power:GND") (at 76.2 63.5 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 73.025 69.85 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 91dded20-4877-4f9b-864c-903d5c64eb77)
- (property "Reference" "#PWR09" (at 76.2 69.85 0)
+ (property "Reference" "#PWR09" (at 73.025 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (at 76.2 68.58 0)
+ (property "Value" "GND" (at 73.025 74.93 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "" (at 76.2 63.5 0)
+ (property "Footprint" "" (at 73.025 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (at 76.2 63.5 0)
+ (property "Datasheet" "" (at 73.025 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid be43dbf0-65c8-4b1e-b8b8-f476574bb74a))
@@ -1913,44 +1876,19 @@
)
)
- (symbol (lib_id "power:GND") (at 132.715 26.67 0) (unit 1)
- (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
- (uuid e7ae3984-efe1-44be-8aaf-61e1f6768820)
- (property "Reference" "#PWR010" (at 132.715 33.02 0)
- (effects (font (size 1.27 1.27)) hide)
- )
- (property "Value" "GND" (at 132.715 31.75 0)
- (effects (font (size 1.27 1.27)))
- )
- (property "Footprint" "" (at 132.715 26.67 0)
- (effects (font (size 1.27 1.27)) hide)
- )
- (property "Datasheet" "" (at 132.715 26.67 0)
- (effects (font (size 1.27 1.27)) hide)
- )
- (pin "1" (uuid eb01c002-eccd-4527-9e54-b9c5c6932dd7))
- (instances
- (project "hardware"
- (path "/9c6bd711-93fb-4327-8ec4-bcfe43c3c3c8"
- (reference "#PWR010") (unit 1)
- )
- )
- )
- )
-
- (symbol (lib_id "power:GND") (at 99.06 34.29 0) (unit 1)
+ (symbol (lib_id "power:GND") (at 81.28 35.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid fc17c221-25c0-4f40-b58b-a20f0652bf18)
- (property "Reference" "#PWR05" (at 99.06 40.64 0)
+ (property "Reference" "#PWR05" (at 81.28 41.91 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Value" "GND" (at 99.06 39.37 0)
+ (property "Value" "GND" (at 81.28 40.64 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "" (at 99.06 34.29 0)
+ (property "Footprint" "" (at 81.28 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "" (at 99.06 34.29 0)
+ (property "Datasheet" "" (at 81.28 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 00533e7a-0505-41b6-b5e2-f52df8bab4fb))
@@ -1963,19 +1901,19 @@
)
)
- (symbol (lib_id "Connector_Generic:Conn_02x06_Top_Bottom") (at 84.455 53.34 0) (unit 1)
+ (symbol (lib_id "Connector_Generic:Conn_02x06_Top_Bottom") (at 81.28 59.69 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid fe7b1f01-3f0e-49f0-9674-7a7e79bae509)
- (property "Reference" "J6" (at 85.725 43.18 0)
+ (property "Reference" "J6" (at 82.55 49.53 0)
(effects (font (size 1.27 1.27)))
)
- (property "Value" "BASYS_JB" (at 85.725 45.72 0)
+ (property "Value" "BASYS_JB" (at 82.55 52.07 0)
(effects (font (size 1.27 1.27)))
)
- (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Vertical" (at 84.455 53.34 0)
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Vertical" (at 81.28 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
- (property "Datasheet" "~" (at 84.455 53.34 0)
+ (property "Datasheet" "~" (at 81.28 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0321aaf6-2626-45de-b511-1c2c91087b99))
diff --git a/src/demo.c b/src/demo.c
index 6584719..c4207c7 100644
--- a/src/demo.c
+++ b/src/demo.c
@@ -3,14 +3,12 @@
#include "demo.h"
#include "ppu/ppu.h"
-#include "ppu/internals.h"
-
-#include "../test/ppu-stm-integration-demo/test-image-sprites.h"
-
#define HH_DEMO_BALL_COUNT 5
hh_s_ppu_loc_fam_entry g_hh_demo_balls[HH_DEMO_BALL_COUNT];
void hh_demo_setup() {
+ hh_ppu_update_aux((hh_s_ppu_loc_aux) { .sysreset = 1 });
+
// load sprites
hh_ppu_update_sprite(0, HH_DBG_SPRITE_BALL);
hh_ppu_update_sprite(1, HH_DBG_SPRITE_CHECKERBOARD);
diff --git a/src/ppu/stm.c b/src/ppu/stm.c
index e1d7e5d..d588a65 100644
--- a/src/ppu/stm.c
+++ b/src/ppu/stm.c
@@ -8,18 +8,10 @@ void hh_ppu_init() {}
void hh_ppu_deinit() {}
void hh_ppu_vram_dwrite(uint8_t* data, size_t size) {
- // HAL_SPI_Transmit(&hspi1, data, size, HAL_MAX_DELAY);
- for (size_t i = 0; i < size; i += 4) {
- if (i+4 > size) break;
- uint8_t test[4] = { data[i+0], data[i+1], data[i+2], data[i+3], };
- HAL_SPI_Transmit(&hspi1, test, 4, HAL_MAX_DELAY);
- // HAL_Delay(100);
- }
+ HAL_SPI_Transmit(&hspi1, data, size, HAL_MAX_DELAY);
HAL_SPI_Transmit(&hspi1, (uint8_t[4]){ 0xff }, 4, HAL_MAX_DELAY);
// reset SPI
HAL_GPIO_WritePin(GPIOA, GPIO_PIN_9, GPIO_PIN_SET);
- // HAL_Delay(100); // TODO: remove
HAL_GPIO_WritePin(GPIOA, GPIO_PIN_9, GPIO_PIN_RESET);
- // HAL_Delay(100); // TODO: remove
}